• 통큰쿠폰이벤트-통합
  • 통합검색(164)
  • 리포트(153)
  • 자기소개서(4)
  • 방송통신대(4)
  • 논문(2)
  • 시험자료(1)

"타이머를 이용한 디지털 시계" 검색결과 121-140 / 164건

  • 디지털 음향기기
    -신호대 잡음비가 90∼96dB로 아주 높다. * 이외 선곡기능, 타이머 기능, 뮤팅, 디지털 복사기능이 있다. * 고 음질을 추구하는 음악 애호가와 라디오 방송국에서 사용된다.3. ... 이 압축기술을 이용하여 동영상, 게임, MP3를 즐길 수 있다. ... 콤팩트 디스크와 구조2) 콤팩트 디스크 구조. * LP레코드는 양면 녹음인데 비해 CD는 한면만 녹음된다.(74분) * LP와 반대로 안쪽에서 바깥쪽으로 시계방향으로 음성 데이터를
    리포트 | 21페이지 | 3,300원 | 등록일 2010.04.20
  • RFID 도어락 제작
    장치이고, 카운터를 사용하여 시계를 만들 수도 있고 동전을 계수하는 장치도 만들 수 있다. ... 무선 송수신 장치에는 디지털부도 있고 논리처리부도 있다. ... Vcc, VssVcc는 DC 5V 전원단자이며, Vss는 접지 단자를 말한다.j. 2개의 16비트 타이머/카운터타이머란 규칙적인 AT89C51 내부의 펄스가 하나씩 들어오면 이를 세는
    리포트 | 27페이지 | 1,500원 | 등록일 2010.03.16
  • [공학]AVR(C언어) 디지털시계 프로그램 소스
    작품 개요Atmel사의 8515칩을 사용하여 만든 KUT-8515 키트를 이용하여디지털 시계를 LCD에 표현하도록 C언어로 프로그램을 작성한다.외부 인터럽트를 이용하여 시계 및 알람을 ... 결 론디지털 시계를 만들면서 8051보다 더 많은 기능을 가진 8515칩에 대하여많은 공부를 하게 되었고 특히 외부 인터럽트와 타이머/카운터에 대하여많이 알 게 되는 계기가 되었다. ... 작품 내용C언어로 작성한 프로그램을 8515에 저장하여 연결된 LCD에디지털 시계를 표시.5.
    리포트 | 11페이지 | 2,000원 | 등록일 2007.01.16
  • 디지털과 아날로그의 소자, 신호, 정의 조사
    http://cafe.naver.com/medic25.cafe(2) 디지털 신호- 우리 사회의 모든 분야에서 사용되고 있는 디지털 공학을 이용한 전기,전자,통신기기들은 이들이 다루는 ... ) 신뢰도가 높으며 반도체 기억장치 등에 쉽게 이용될 수 있다.참고 문헌 : 기전연구사 , 진경시 외 2명, 디지털 공학 및 실습인터비전, 권오근 외 2명, 디지털공학 및 실험2. ... 신호의 형태에 따라 아날로그 시스템(analog system)과 디지털 시스템(digital system)으로 분류가 된다.
    리포트 | 11페이지 | 1,500원 | 등록일 2008.11.25
  • 10진 업 카운터에 대하여 정리
    서 론현재 집, 학원, 학교 등등 어디서나 주변에서 흔히 볼 수 있는 것은 시계이다. 요즘에는 지침을 사용하는 아날로그 시계가 아닌 디지털 시계가 대세이다. ... 아날로그 시계보다는 디지털 시계가 알람 등의 여러 기능을 더 포함하기 때문에 편안함을 찾는 현대인들에게 조금 더 적합하다고 생각되기 때문이다. ... 그 디지털 시계에서 가장 중요한게 카운터이다. 카운터란 일정한 수의 펄스 입력을 주어 한 개의 출력 펄스를 만드는 것을 말한다.
    논문 | 6페이지 | 3,000원 | 등록일 2015.05.25
  • [공학]8051(어셈블리어)로 구현한 디지털시계 프로그램 소스
    작품 개요Atmel사의 89C51칩을 사용하여 만든 KUT51 키트를 이용하여디지털 시계를 LCD에 표현하도록 어셈블리어로 프로그램을 작성한다.외부 인터럽트를 이용하여 시계 및 알람을 ... 알고리즘타이머 모드 1을 이용하여 50μs마다 인터럽트를 발생시켜 20번이되면 1씩 업카운트되는 프로그램을 만든다. ... 작품 내용어셈블리어로 작성한 프로그램을 8051에 저장하여 연결된 LCD에디지털 시계를 표시.5.
    리포트 | 10페이지 | 2,000원 | 등록일 2007.01.16
  • PWM스텝핑모터
    가장 간단한 형태는 시계, 타이머, 카운터 등으로 사용되는 단상 스태핑모터이고 또한 컴퓨터 주변기기에 많이 사용되는 ... 스테핑 모터는 pulse에 의해 digital적으로 제어하는 것이 가능하므로 마이크로 컨트롤러에서 사용하기에 적합한 모터이다. ... 그리고 포토센서를 이용하여 대략적인 모터의 속도를 측정해 본다.3.
    리포트 | 12페이지 | 1,500원 | 등록일 2010.06.20
  • 아이디어상품
    중국의 ‘Eittek’사에서 선보인 PDA 디지털 손목시계는..알람과 같은 일반적인 시계 기능은 물론, 심지어 사진도 찍을 수 있다.사진 크기는 우표 크기(160×120픽셀)만하며 ... 손목시계 카메라? ... 티백 타이머??차가 적당히 우러났을 때 펭귄의 부리에 걸어놓은 티백이 저절로 올라간다.귀여우면서도 실용적인 이 제품의 가격은 3천엔(약 3만원)?화장실 겸용 벤치??
    리포트 | 50페이지 | 2,000원 | 등록일 2010.05.09
  • 24진시계,디지털시계,부울식
    24진 디지털 시계1. 24진 시계 회로도2. 세그먼트3. 논리함수 유도4. NE555 타이머회로24진 디지털 시계5. 부가기능6. 결론7. ... 브레드보드 사진목적디지털 회로의 이해 및 설계24진 시계 회로도Click세그먼트7 세그먼트 표시기논리함수 유도현재상태 다음상태 0 0 0 0 0 1 0 0 1 0 1 0 0 1 0 ... 증폭 세그먼트 주의점 세그먼트를 반대로 했을떄 각 세그먼트의 입력 주의 -시계 설계를 위한 참고 자료- 공주대학교 정보통신공학부 디지털 실험실 http://princess.kongju.ac.kr
    리포트 | 20페이지 | 1,500원 | 등록일 2007.12.03
  • 도트매트릭스를 이용한 신호등 제작
    또한 디지털 소자이므로 2개의 전원 단자와 크리스털을 연결하는 XTAL1, XTAL2 단자로 존재한다. ... */case 3: P1 |= (W_BLU | W_BLU2); P1 &= ~W_YEL;break;}}/*신호등켜기를 시계방향으로 돌려서 다음차례에 ak; ... /카운터 0 외부입력P3.5T2B5H타이머/카운터 1 외부입력P3.6WRB6H외부 데이터 메모리 쓰기 신호P3.7RDB7H외부 데이터 메모리 읽기 신호◎ EA / Vpp (External
    리포트 | 20페이지 | 3,000원 | 등록일 2008.07.25
  • Web 2.0 웹은 플랫폼이다.
    위젯의 활용으로 타이머, 알람, 달력, 디지털 시계, 아날로그 시계, 오늘의 일정, 증권정보, 액자, 메모, 검색, 날씨, 블로그, 메일, 달력, 문자메시지, 게임 등이 있다. ... 포털의 위젯 서비스로는 야후 ‘위젯3.1(오늘의 일정, 액자, 아나로그 시계, 날씨, 증권정보, 달력, 월드컵 이슈)’, 네이버 ‘네이버 데스크탑(오늘의 일정, 액자, 아나로그 시계 ... , 날씨, 문자메시지, 증권정보, 블로그, 메일 등)’, 다음 ‘터치(날씨, 영어사전, 달력, 계산기, 타이머)’, 드림위즈 ‘위즈캣’ 등이 있다.3.
    리포트 | 4페이지 | 1,000원 | 등록일 2008.06.07
  • 중력가속도 측정 실험 결과 레포트
    )에 디지털 타이머나 인터페이스를 연결하여 낙하시간을측정- 포토게이트를 이용한 낙하시간 측정- 낙하물체로서 피켓-펜스(picket-fence)를 사용하고 포토게이트를 이용하여 시간측정 ... ⒟ Atwood 기계의 이용- 낙하시간 측정을 위하여 멈춤시계, 시간기록계, 스파크 타이머 또는 포토게이트와인터페이스를 사용 할 수 있다.2) Discussion이번 실험의 목적은 ... 간의 낙하시간을 측정- 스트로보스코프를 이용하여 사진을 촬영하고 일정한 섬광 시간간격마다 물체의 위치를 측정하여 속도와 중력가속도를 구한다.- 디지털 비디오 분석 : 예를 들어, Video
    리포트 | 7페이지 | 1,000원 | 등록일 2007.09.23
  • [마이크로프로세서] [졸업작품]DS12887A 이용디지털시계 LCD[AT89C51]
    ::지금까지 디지털 시계를 마이컴을 이용해서 많이 만들어 봤다. ... DS12887A 를 이용해서 디지털 시계를 만드는 내용 입니다. CPU는 AT89C51을 사용했으며 시간 표시로 16x2Line LCD 사용했습니다. ... 위의 정보를 보게 되면 AT89C51에는 4K byte의 내부 Fllash Memory 가 있음을 알 수 있다. 4K byte 정도면, 디지털 시계정도는 충분히 만들 수 있는 공간
    리포트 | 19페이지 | 10,000원 | 등록일 2005.06.10
  • [마이크로프로세서] [졸업작품]디지털시계 LCD 멜로디알람 온도표시 [ATMEGA8535L]
    디지털 시계입니다. 16x2 Line LCD에 시간을 표시했으며, 여기에 온도계 표시기능도 넣었기 때문에 보통의 일반 디지털 시계와 차원이 다릅니다.CPU는 AVR 계열의 ATMEGA8535L ... 버턴을 누를 때마다 부저 음이 울리게 되어있으며, 이 시계의 특징은 온도 표시 기능이다. 온도 센서를 이용해서 LCD 창에 현재의 온도를 표시 하였다. ... :AVR CPU인 ATMEGA8535L-8 CPU를 사용한 LCD 디지털 시계이며, 알람 출력으로 멜로디 전용 IC를 사용했다.
    리포트 | 315페이지 | 10,000원 | 등록일 2005.06.23
  • [마이크로 프로세서]ATmega8515 디지털 시계 제작
    시계 제작/ 타이머를 이용하여 1초 펄스를 발생시킨다.// 외부 인터럽트 요구 신호를 이용하여 시간을 조정한다.// - KEY0(INT0) : 시간 조정 자리수 이동// -> 자릿수에 ... ATmega8515 디지털 시계 제작? ... 1.목적ATmega8515 Controller의 구조와 동작을 이해하고제어하는 방법을 통해 LCD를 이용시계를 Display하는 것을 목적으로 한다.2.기능1.시간 display
    리포트 | 10페이지 | 1,500원 | 등록일 2006.05.31
  • [서비스 경영론] CGV의 서비스 전략
    특히, 스탭(파트타이머)은 소중한 자산이라는 생각으로 인센티브, 포상 등을 통해 신바람 나는 근무 환경을 만들기 위해 노력하고 있다.그러한 노력중 일환으로 우수 스탭 선발 및 홍보 ... 따라서 이와 같이 수요의 제 살 깎기를 피하기 위해서는 확장을 위한 바람직한 성장전략을 구축해야 한다.서비스 수요예측한국 영화 산업 2007년간의 수요를 예측 하기 위하여 시계열 모형 ... 에스코트 서비스, 전용 엘리베이터 운영 등 일반 극장에서는 볼 수 없는 차별화된 서비스도 도입한다.4WAY입체 음향 시스템CGV는 4Way 시스템으로 CP650EX 카드 장착과 DTS(디지털
    리포트 | 15페이지 | 1,000원 | 등록일 2010.05.02
  • [8051] [졸업작품]디지털시계,LCD,멜로디알람,온도표시[AT89C52]
    :시간을 표시하는 방법으로 타이머1의 모드2 인터럽트를 사용했고, 온도 표시를 위해서 외부에 AD컨버터 ADC0809를 사용했다.디지털시계의 생명은 정확한 시간에 있다. ... 버턴을 누를 때마다 부저 음이 울리게 되어있으며, 이 시계의 특징은 온도 표시 기능이다. 온도 센서를 이용해서 LCD 창에 현재의 온도를 표시 하였다. ... 또한 시간표시를 LCD를 사용해서 세련된 모습을 보입니다.:8051 CPU인 AT89C52 CPU를 사용한 LCD 디지털 시계이며, 알람 출력으로 멜로디 전용 IC를 사용했다.
    리포트 | 7페이지 | 10,000원 | 등록일 2005.07.02
  • 감시레이더
    안테나가 있다면 전파가 도달되는 지역은 그 지역내 의 모든 목표물이 레이더 탐지권(Radar Coverage)에 속하게 되는 것이다.< Radar 의 원리>2) 레이더의 구성1.타이머 ... 통제 체계의 초석은 조종실에 있는 조종사 및 항공 소통량 에 관하여 지상 견실하고, 정확한, 순간 정보에 관제사를 주어서, 항공 안전을 개량할 것이다.2)증명한 존재를 사용해서, 디지털 ... 공항의 대규모화, 항공기의 발착회수 증대, 공항 활주로 부근에서 교통의 복잡화 등으로 인하여 관제원의 운용에 의한 항공관제는 점점 곤란하여지고 있으며, 더욱이 야간이나 악천후에 의한 시계불량에서도
    리포트 | 47페이지 | 1,500원 | 등록일 2009.12.10
  • [마이크로 프로세서]8051 디지털 시계 제작
    8051 디지털 시계 제작? ... 시계 Display 구상? 스위치를 이용한 LCD 제어*스위치1: 우로 움직이는 기능→(AM/PM)시간:분:초 설정가능*스위치2: up 기능→분,시간을 맞출 수 있다? ... 시계를 Display하는 것을 목적으로 한다.2.기능1.시간 display 기능(시:분:초)2.스위치를 이용한 시간 설정 기능3.글자 display3.character lcd 디자인1행
    리포트 | 13페이지 | 1,000원 | 등록일 2006.04.10
  • 저탄소 녹색 성장을 위한 에너지 기술(스마트그리드,그린홈,그린카)
    텔레비전은 전원이 꺼져 있는 상태에서도 시계타이머를 작동시키고, 리모컨 신호를 받기 위해 대기하면서 전기를 사용한다. ... 스마트 그리드(Smart Grid)의 해외 동향과 마이크로 그리드일찍이 미국에서는 다가올 디지털 사회를 위한 정보산업 발전에 장애가 되어왔던 낙후된 전력 시스템을 2020년까지 개선할 ... 그린 홈(Green Home)의 해외 동향그린 홈의 선진국인 일본의 경우는 오래 전부터 태양을 이용한 주택 공급을 해왔기 때문에 이를 기반으로 빠른 속도로 그린 홈 기술을 발전시켜
    리포트 | 11페이지 | 3,000원 | 등록일 2010.06.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대