• 통큰쿠폰이벤트-통합
  • 통합검색(468)
  • 리포트(439)
  • 시험자료(21)
  • 자기소개서(4)
  • 방송통신대(4)

"2x1mux" 검색결과 121-140 / 468건

  • 현대물리실험-X선회절실험 결과 보고서
    실험 결과1) X선 회절 실험 2) X선 흡수 계수 실험포일 종류μ 선 흡수계수 (cm-1)Cu0.340Co19.2Zn0.663Ni0.5384. 검토 및 토의1. ... 실험 제목: X선 회절 실험2. 목 적1. X선 회절 실험: X선 브래그 법칙과 결정구조를 이해하고 결정의 각도에 따른 X선 반사강 도를 측정한다.2. ... 일반적인 X선 세기와 물질에 통과시켜 약해진 X선의 세기를 비교하면 선 흡수계수를 구할 수 있다.I`=I _{0} BULLETe ^{(- mux)}#mu=ln(I _{0} /I)/x
    리포트 | 4페이지 | 1,500원 | 등록일 2019.02.20
  • (예) 12. 멀티플렉서를 이용한 조합 논리
    진리표의입력출력A4B4∑4X*************1101000101011011110MUX8:1D0D1D2D3 YD4D5D6D7SelectCBA각 행은 MUX에서의 8입력중 하나와 ... 입력은 비교되는 두 수를나타내는 A2,A1과 B2,B1 이다. A2,A1과 B2가 MUX의 SELECT입력으로 연결되는 것에 주의하라. ... 회로를 구성하고 모든 가능한 입력을 점검하여 회로를 테스트하라.실험의 예상 결과입력출력데이터 신호로의 연결A2A1B2B1X00001B1'000100010000011001001101011011000011101000111001110101B1'101101100111101111101111111추가
    리포트 | 5페이지 | 1,000원 | 등록일 2015.12.11
  • 디지털 시스템 실험 Simple Computer 1 - Data Path 예비보고서
    *********/module MUX2to1(D1,D0,OUT,S);input [3:0] D1,D0;input S;output [3:0] OUT;reg [3:0] OUT;always ... {Cin, S2, S1, S0}의 ALU Selection Bit를 정의한다.▶ MD: Mux D, Destination Register에 Micro-operation 수행 결과를 ... Y);output C, S;input X, Y;xor x0(S, X, Y);and a0(C, X, Y);endmodulemodule full_adder(C,S,X,Y,Z);output
    리포트 | 10페이지 | 1,000원 | 등록일 2016.04.08
  • vhdl 4x1 mux 코딩 다른버젼
    '+ABC'+A'BC'+ABC'+ABC+ABC'=AC'+BC'=AB※결론 및 고찰4x1 MUX를 주어진 결과 값 만을 보고 역으로 맞춰 가는 과정이었다. ... 학과 : 전자재료공학과학번 :이름 :과제 5. 4 X 1 MUX 구현(진리표,K-MAP,LOGIC DIAGRAM,SIMULATION)< TRUTH TABLE> < K-MAP >F=AB'C ... 일단 주어진 식은 F(A,B,C)=∑(2,4,6,7)이었고 이를 진리표로 나타내면 위와 같다.
    리포트 | 1페이지 | 1,000원 | 등록일 2008.06.12
  • 전자계산기구조 핵심요점정리(직접작성한내용)
    멀티플렉서=MUX 주요역할:통신 (스위치역할도 가능)2 ^{n}(입력개수) x 1(출력개수) (n:선택선) 예)4x1MUX , 8x1MUX블록도 (S0,S1의 값에따라 어떤 입력값이 ... 디코더(복호화기)1) n(입력개수) x2 ^{n}(출력개수) 예) 2 x 4 디코더 3 x 8 디코더..2) 논리식 개수 :2 ^{n}3) 디코더의 출력은 최소항과 같다? ... 디멀티플렉서:DEMUX 주요역할:수신1(입력개수) x2 ^{n}(출력개수) (n:선택선) 예1x4DEMUX, 1x8DEMUX블록도?
    리포트 | 7페이지 | 1,000원 | 등록일 2016.11.30
  • 마이크로프로세서(ATMega128)실험 AD컨버터로 광센서 읽기
    ADMUX(ADC Multiplexer Selection Register) : A/D 컨버터 멀티플렉서 선택 레지스터76543210REFS1REFS0ADLARMUX4MUX3MUX2MUX1MUX0 ... 데이터로 변환한다.아날로그 입력2진 출력전압(V)8421DCBA1행000002행0.200013행0.400104행0.600115행0.801006행1.001017행1.201108행1.401119행1.6100010행1.8100111행2.0101012행2.2101113행2.4110014행2.6110115행2.8111016행3.01111은 ... 입력이 0.2V씩 증가할 때마다 출력의 2진수는 1씩 증가한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2016.02.15
  • 전자전기컴퓨터설계실험2(전전설2)5주차예비
    실험결과 (Results)1. 2비트 2:1 MUX를 설계하시오.코딩(text)// 2to1muxmodule two_one_mux(a,b,s,q);input [1:0] a,b;input ... 둘다 조건문이기 때문에 해당 select의 경우에 맞게 적용해주었다. 2to1mux의 경우엔 2개, 4to1mux에선 4개를 지정해주면 된다.나. ... 모델링하면 쉽게 할 수 있다.2to1 mux의 경우엔 if문으로 작성하였고 4to1 mux의 경우엔 case문으로 작성하였다.
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • Combinational-Logic-Design-Ⅱ-Decoder, Encoder and Mux
    입력 신호를 M개(2N개)의 출력 신호로 변환시키는 동작 수행입력 조합에 대해서 M개의 출력 단자 중 1개만 High값이 출력되고, 나머지 출력단자에서는 Low값이 출력됨.3x8 ... .2비트 2 : 1 MUX 회로를 설계하기 위해 Source를 작성한 후 프로젝트에 Source를 추가한다.2비트 2 : 1 MUX 회로를 Synthesize – XST, Implement ... 2비트 2 : 1 MUX 회로 - Simulation과 장비에서 비교이론 : Simulation실험 :장비에서 확인I0 : 11, I1 : 01, S : 0I0 : 11, I1 :
    리포트 | 19페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • VHDL코드를 이용한 MUX and DEMUX 구현(multiplexer and demiltiplexer)
    Design a 2x1 MUX- Describe its input output signals2x1 MUX의 입력은 i0와 i1의 두개의 bit이고, 출력은 Z이고 1bit이다. 2x1 ... 실험 목적이번 실험은 MUX와 DEMUX에 대해 상세하게 알아보고, Xilinx프로그램을 활용하여 VHDL코드로 2x1 MUX와 74LS138 1x8 DEMUX구현하는 것이다. ... 이 회로를 확장하여 선택 핀과 데이터 입력을 늘리게 되면 4x1, 8x1, 16x1 등의 먹스를 만들 수 있다.다음은 4x1 먹스의 회로도이다. 2개의 선택입력 S0, S1을 이용해
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.23
  • 논리회로실험-2014-Multiplex
    , 8x1 mux를 설계하기 이전에 2x1 mux의 설계해 component를 통해 8x1 mux를 설계할 수 있다.[ 그림 2 ] 2x1 mux2x1 mux는 입력데이터 i(0), ... 실험에서 최종적으로 설계할 mux는 8개의 입력 단자가 있는 8x1 mux이다. [ 그림 3 ]에서 볼 수 있듯이 8x1 mux는 2x1 mux 7개를 이용하여 구성할 수 있기 때문에 ... mux의 설계는 위의 그림과 같이 7개의 2x1 mux를 연결하여 구성된다.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.11.05
  • 디지털논리회로실험(Verilog HDL) - 8-bit Signed Adder/Substractor, Multiplier
    Adder/Subtractor*Adder/subtractor: control input determines whether add or subtract-Can use 2x1 mux : ... The result P = A x B is to be displayed on HEX1 and HEX0.? ... *조건-SW11-8, SW3-0으로 16진수 숫자 2개 (A와 B) 입력-A는 HEX6에 B는 HEX4에 각각 출력-곱셈의 결과 P는 HEX1-0에 출력(2) Process?
    리포트 | 19페이지 | 1,000원 | 등록일 2019.08.29
  • 논치회로실험 결과 4
    우리 실험에선 4X1 멀티플렉서를 구현하였으므로, 4개의 입력과 2개의 신호선택선 그리고 1개의 Enable 입력선이 사용되었다.실험1.1 ? ... Multiplexer (MUX)멀티플렉서란2^n개의 입력선과 n개의 신호선택선 그리고 1개의 Enable 입력선을 통해 원하는 자리의 출력을 단일 출력으로서 내보내는 회로를 뜻한다. ... 또한 E입력이 0이 아닌 1일 경우 MUX 동작이 하지 않는데, 이 때문에 모든 LED가 Off된 것을 확인할 수 있었다.
    리포트 | 9페이지 | 1,500원 | 등록일 2016.09.24 | 수정일 2018.10.08
  • 금오공대 전자공학부 컴퓨터구조 ㅅㅇㅎ 2015년 2학기 중간시험 족보
    기본 컴퓨터의 ALU는 조합회로인가 순차회로인가4. 2x1 MUX를 AND게이트, OR게이트, Inverter를 이용하여 구현하고 동작을 상세히 설명하시오.(2점)5. ... 금오공대 전자공학부 컴퓨터구조 성영휘교수님 2015년 2학기 중간시험 족보-ALU에 대하여 다음에 답하시오.(1~3번, 각 1점)-1. ... 현재 AC에는 1이, 메모리 11번지에는 21이, 메모리 21번지에는 33이 들어있다. ‘1 AND 11' 명령이 수행된 후에 AC, 메모리 11번지, 메모리 21번지에 들어 있는
    시험자료 | 2페이지 | 15,000원 | 등록일 2018.05.05 | 수정일 2022.05.07
  • Combinational Logic 실험 #1 (반가산기 , 전가산기)
    Maxterm에 대해 조사하여 2-1과 2-2식을 Maxterm 을 이용하여 나타내어라xyzMintermsMaxterms000x'y'z'm0x+y+zM0001x'y'zm1x+y+z'M1010x'yz'm2x ... 반면, Maxterm은 위의 조건들에서는 0이고 나머지가 1이다.∴ f1=(x+y+z)(x+y'+z)(x+y'+z')(x'+y+z')(x'+y'+z)=M0M2M3M5M6f2=(x+y ... +z)(x+y+z')(x+y'+z)(x'+y+z)=M0M1M2M4?
    리포트 | 4페이지 | 1,000원 | 등록일 2018.06.11
  • <컴퓨터 구조 및 설계>4장 프로세서(Data path & Mapping Control) 요약정리
    따라서 진리표에서 10과 01대신 1x와 x1을 사용할 수 있다. 또 R형식 명령어가 사용되는 경우 이 필드의 첫 두 비트는 항상 10이다. ... A1이면 2진수에서 앞자리 값이 1인 10값이나 11값이다. A0이면 2진수에서 앞자리 값이 0인 01값 또는 11값이다(00은 아니다!) ... 따라서 그들을 don’t care항으로 받아들이고 진리표에서 XX로 표시한다.Operation 2을 고려해보면 ALUOp0이 1이면 항상 참, ALUOp1이 1이고 F1값이 1이면
    리포트 | 23페이지 | 1,000원 | 등록일 2019.07.29 | 수정일 2019.08.13
  • 경북대학교 전자공학실험1 올A+ 결과보고서 7장
    *연습문제1) n - to 2^n 디코더는 2^n 개의 모든 minterm 출력을 내는 AND array 로 보면 n 개의 입력변수를 갖는 조합논리회로 설계에 유용할 수 있다. 3x8 ... 다르고,모양은 동일1μs1MHz0111주기는 다르고,모양은 동일0.1μs10MHz0입력D0D1D2D3D4D5D6D7※분석- 여기서 사용한 74151 MUX 는 8개의 Data source ... 즉 의미없는 데이터로 취급)-실제로 LED 에 나타난 값들.. (2,4,7,1)실험 2 -74151 : Multiplexer① D0~ D7 에 1Hz ~ 10MHz 까지의 주파수를
    리포트 | 6페이지 | 3,000원 | 등록일 2015.11.03 | 수정일 2022.03.28
  • 디지털 논리회로 VHDL 코딩 과제 4bit full adder 설계
    각각의 2가지 경우가 다시 X에 의해 스위칭되는 2-to-1 MUX로 들어가서 총 4가지의 경우수를 가지게 되는 것을 알게 되었고X와 Y 두개의 변수에 의해 스위칭되는 4-to-1 ... 1의 값을 가질 때 각각 다른 값을 가진다는 것이었고, 이것에서 나는 2-to-1 MUX를 사용할 수 있을 것이라는 생각이 들었다.X와 X’으로 정리하면 S = X(Y’CIN+YCIN ... )]+X’[Y(CIN)+Y’(CIN’)]으로 표현되고 총 3개의 MUX를 이용하면 간단히 회로를 짤 수 있었다.그런데 잘 생각해보니 처음에 Y에 의해 스위칭되는 2-to-1 MUX
    리포트 | 16페이지 | 2,000원 | 등록일 2014.10.13 | 수정일 2015.12.07
  • MUX & 7-SEGMENT LED DECODER
    ///////////////////// 2x1 Multiplexer ///////////////////////////////////////////////////// ... //////////////always @( * )begin case (select)0 : mux_out ... 1.
    리포트 | 7페이지 | 1,000원 | 등록일 2015.03.13
  • 디지털논리실험 이병기저 실험2 부호기 예비보고서 입니다.
    이때 특정 출력선의 선택은 n개의 선택입력의 조합으로 제어한다.1X4 디멀티플렉서의 논리회로도와 블록도는 다음과 같다.디멀티플렉서를 DEMUX라는 약어로 표현하기도 한다. ... 따라서 최소 4 개의 4 : 1 MUX를 사용하여 16 개의 입력 라인을 확보해야하고 4 개의 출력 핀을 가진 로직을 사용하게 됩니다. ... 또한 멀티플렉서는 MUX라는 약어로 표현되기도 한다.논리회로도를 보면, 네 개의 입력선 D0~D3는 각각 한 개씩의 AND 게이트의 한 입력이 되고, 선택선 S1과 S0는 특정 AND
    리포트 | 8페이지 | 1,000원 | 등록일 2017.04.30
  • 디지털실험 - 실험 4. 엔코더와 디코더 회로 예비
    작성하라.04*1MUX1Y233. ... 9가지소문자 : c, d, h, n, p, u -7가지- 한글 : (영문, 숫자와 독립 시) ㄱ, ㄴ, ㄷ, ㄹ, ㅁ, ㅂ, ㅋ, ㅌ, ㅏ, ㅓ, ㅡ, ㅣ2) 1x4 디멀티플렉서의 ... 출력을 4x1 멀티플렉서의 입력으로 하는 시스템을 구성하고 실험과 비교하라.예비보고서 1) BCD to 7-Segment 디코더에 대해 설명하라.- 이 디코더는 BCD 코드를 입력으로
    리포트 | 6페이지 | 1,500원 | 등록일 2017.04.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대