• 통큰쿠폰이벤트-통합
  • 통합검색(395)
  • 리포트(358)
  • 자기소개서(15)
  • 논문(9)
  • 시험자료(6)
  • ppt테마(5)
  • 방송통신대(1)
  • 이력서(1)

"Architectural tools" 검색결과 121-140 / 395건

  • [9주차] DIGITAL CLOCK
    이를 위해, DIGIT 표시방식인 SEGMENT와 FPGA 보드에서 나오는 4Mhz의 오실레이터clock의 분주를 이해하고 Xilinx tool과 RoV-Lab의 연결을 통해 실제로 ... rst_n , clk은 standard logic 형식의 input 선언, Digit 는 6자리를 표시해야하므로 std_logic_vector 선언.end digital_clock;architecture
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.30
  • 프로젝트 시간관리
    건설사업관리특론MOKPO NATIONAL UNIVERSITY Architectural Engineering 09415203 Gim, Mi-GyeongCHPTER 6. ... 또는 팀원 개인이 기간 산정에 활용할 수 있을 정도로 자세한 이전 프로젝트 결과 기록을 가짐.6.4.1 Inputs6.4 Estimate Activity Durations6.4.2 Tools ... Project Scope Statement배열활동에 영향을 미칠 수 있는 제품 특성이 담긴 제품 범위기술서 포함.4가지 유형의 의존관계6.2 Sequence Activities6.2.2 Tools
    리포트 | 26페이지 | 1,000원 | 등록일 2011.09.16
  • BIM 패러다임과 대응방안
    BIM TOOL의 이해 03. 사례 (Case Study) 04. Software 현황 05. BIM도입에 따른 변화01. BIM의 배경 및 정의 02. BIM의 구현모습 03. ... 지원하기 위해 프로세스에 걸쳐서 건물의 물리적, 기능적 특성과 관련된 정보카이 프로토타입(Wuhan Blue Sky Prototype)' 12층 규모의 주상복합 단지 Revit Architecture ... Software 현황설계와 디자인 측면의 BIMBIM 설계툴 ArchiCAD 최초 커튼월 도구 툴 도입, 입체 도면 기능 BIM 전문 툴 다양한 Add-on 프로그램 지원 (ceiling
    리포트 | 80페이지 | 5,000원 | 등록일 2011.07.21
  • ARM 프로세서의 종류와 종류별 특징
    새로운 전력 절약 모델 및 64비트 로드-스토어 마이크로아키텍터, IEEE754 호환 가능한 플로팅 포인트 프로세스, 간편한 시스템 통합 과 완벽한 하드웨어와 소프트웨어 개발 툴이 ... PC) 이외 기기의 프로세서 시장으로 진출하기 위하여 통신, 애플리케이션, 메모리를 각각 블록화하여 개발의 유연성 강화를 노린 PCA(Personal Internet Client Architecture
    리포트 | 4페이지 | 1,000원 | 등록일 2012.05.02
  • DECODER
    ISE는 기본적으로 XST라는 자체 합성툴을 사용하지만 조건에 따라서는 외부 합성툴을 사용하기도 하며 그 대표적인 것이 신플리서티에서 나온 ‘Synplify’라는 툴이다. ... isPort ( sel : in STD_LOGIC_VECTOR (2 downto 0);y : out STD_LOGIC_VECTOR (7 downto 0));end decoder;architecture
    리포트 | 9페이지 | 1,000원 | 등록일 2010.03.26
  • Cortex-M3 프로세서
    개발 툴의 폭넓은 선택, 저가격 또는 무상 컴파일러에서부터 다양한 개발 툴 벤더에서 출시한 완전히 집적된 개발 세트에 이르기까지 툴 선택의 폭이 넓다.Cortex-M3 프로세서를 기반으로 ... Harvard architecture : Code Bus, Data Bus, System Bus가 각기 따로 존재하여, 이전에 하나였을때 보다 코드 또는 데이터를 읽거나 쓸때의 대기 ... Cortex-M3 개발자들을 위해서는 ARMv7-M Architecture Application Level Reference Manual (Ref2)가 필요한 모든 명령어 세트에 대한
    리포트 | 7페이지 | 2,000원 | 등록일 2010.05.03
  • BCD to EXCESS-3 CODE CONVERTER
    ISE는 기본적으로 XST라는 자체 합성툴을 사용하지만 조건에 따라서는 외부 합성툴을 사용하기도 하며 그 대표적인 것이 신플리서티에서 나온 ‘Synplify’라는 툴이다. ... BCD코드를 받아들이는 포트설정EXCESS3 : out STD_LOGIC_VECTOR (3 downto 0));//변환된 EXCESS3를 출력하는 포트설정end BCDTOEXCESS3;architecture
    리포트 | 10페이지 | 1,000원 | 등록일 2010.03.26
  • Knowledge Management System
    Km is a complex process as a whole and it requires more than just those tools. ... have high level of adaptability, which could incorporate the changes in the business models and their architectures ... Organizations use KM as a tool in achieving theormation and Communication Networks (ICN) to respond to
    리포트 | 12페이지 | 3,000원 | 등록일 2011.08.07 | 수정일 2020.06.22
  • 디지털 공학 실험[순차회로(검출기)설계]
    .)로 순차회로를 이론적으로 설계한다.3.입출력값을 확인하고 현재상태를 설정하여 차기상태를 이론적으로 구하고 상태도와 천이표를 작성한다.설계방법의 이용 및 개발MAX PLUS II툴에 ... ieee.std_logic_unsigned.all;entity MSC isport( x:in std_logic_vector(3 downto 0);z:buffer std_logic);end MSC;architecture
    리포트 | 8페이지 | 1,000원 | 등록일 2011.06.07
  • 리누스 토발즈와 리눅스
    GNOME은 (응용프로그램을 실행시키고 상태를 보여주는) 패널, 표준 데스크탑 툴들과 응용프로그램들, 그리고 응용프로그램들이 다른 프로그랭들과 일관되고 협동적으로 동작할 수 있도록 ... 소프트웨어들이 어떤 언어로 작성되어 있든지 혹은 어떤 기계에서 돌아가던지 상관없이 상호간에 동작이 가능하도록 하는 CORBA(Common Object Request Broker Architecture
    리포트 | 9페이지 | 1,000원 | 등록일 2014.07.11
  • BIM과 CAE의 접목
    반면에 CAE는 기존의 2D캐드를 다른 매개체 툴을 이용하여 완전한 3D캐드로 변화한 후 각종 CAE툴을 이용해 전산해석을 시행한다. ... 현재 통합회된 3차원 설계의 필요성을 인식하고 AEC(Architecture, Engineering, Construction)관련업계에서 BIM도입을 추진하고 있으며 각종 연구와 실제 ... 하지만 BIM의 정의에 있어서, BIM이 디지털 툴이나 풀랫폼인지, 아니면 디지털 툴이나 플렛폼의 상위개념인지에 대하여 아직도 많은 논의가 되고 있다.
    리포트 | 10페이지 | 4,000원 | 등록일 2010.03.11
  • [시스템경쟁] 기업전략 - 시스템 경쟁
    모듈화 생산시스템 경쟁 하에서의 기업은 시스템을 구성하는 아키텍처(Architecture)를 기획하고, 이것을 구성하는 부품들을 기업의 내부나 시장을 통해서 공급받는다. ... 기술을기반으로 많은 기업들이 연합 네트워크를 형성하여 이런 네트워크 간의 경쟁이 일어나는 것이 최근 산서의 독점력을 기반으로 하여 응용 소프트웨어, 인터넷 브라우서, 소프트웨어 개발 툴
    리포트 | 9페이지 | 2,000원 | 등록일 2014.06.24
  • 웹 2.0시대의 인터넷 비지니스
    (UCC, ARCHITECTURE OF PARTICIPATION, NETWORK EFFECTS) !!! ... ), 더하기(RAISE)*분석툴과 프레임워크◆ERRC GRID- 4가지 행동 프레임워크에 대한 보충 분석툴버리기더하기줄이기새로운 창출*분석툴과 프레임 워크◆좋은 전략의 세가지 특성- ... “- SOUTHWEST항공*분석툴과 프레임워크◆가치곡선 읽기- 블루오션전략?- 레드오션에 빠진 전략?- 보상없는 과잉제공?- 일관성 없는 전략?
    시험자료 | 41페이지 | 10,000원 | 등록일 2012.03.17
  • DECODER, ENCODER
    ISE는 기본적으로 XST라는 자체 합성툴을 사용하지만 조건에 따라서는 외부 합성툴을 사용하기도 하며 그 대표적인 것이 신플리서티에서 나온 ‘Synplify’라는 툴이다. ... : out STD_LOGIC_VECTOR (6 downto 0);selector : in STD_LOGIC_VECTOR (1 downto 0));end handoutsegment;architecture
    리포트 | 13페이지 | 1,000원 | 등록일 2010.03.26
  • [4주차] Multiplex
    STD_LOGIC type의 1bit value.end Mux2;architecture Behavioral of mux2 is -- entity Mux2의 동작설계begino '0' ... 23:12 03/28/2012-- Design Name:-- Module Name: Mux8 - Behavioral-- Project Name:-- Target Devices:-- Tool
    리포트 | 11페이지 | 2,000원 | 등록일 2012.06.30
  • 고객관계관리(CRM)전략
    구축이 가능 2단계 데이터 추출방식에 의해 이기종의 다양한 기존 시스템의 데이터 통합이 용이 및 기존 시스템에 대한 영향이 없슴 비즈니스 로직에 따른 데이터의 통합이 가능 다른 툴과 ... Client/Server Heritage Sales Driven About enabling the sales repAnalytical CRM Analytics Focused Web Architecture
    리포트 | 65페이지 | 1,000원 | 등록일 2013.10.30 | 수정일 2016.02.10
  • ADDER COMPARATOR
    ISE는 기본적으로 XST라는 자체 합성툴을 사용하지만 조건에 따라서는 외부 합성툴을 사용하기도 하며 그 대표적인 것이 신플리서티에서 나온 ‘Synplify’라는 툴이다. ... STD_LOGIC_VECTOR (7 downto 0);CARRY : out STD_LOGIC);end eight_bit;--8비트 출력포트와 입력포트를 설정한다. carry포트는 1비트로 설정한다.architecture
    리포트 | 21페이지 | 1,000원 | 등록일 2010.03.26
  • saas
    보다는 고객들이 원하는 것이 무엇인가 있다.③초기 ASP의 접근은 고객들 측면에서 완성도인 방식 애플리케이션을 지원해 주는 것이었으나, 후기 SaaS는 메세징플랫폰, 기업경영관리툴, ... , Pricing and Management, Characteriss를 포함하는 1대1 모형이 아니라 Single Instance, Multi-Tenant Architecture를 ... 있는 상업용 소프트웨어이다.②어플리캐이션에 원격으로 웹을 통해 접속 가능하고 고객 사이트가 아닌 서비스 제공자 사이트에서 관리 활동이 이루어진다.③어플리캐이션의 제공이 전형적으로 Architecture
    리포트 | 5페이지 | 1,000원 | 등록일 2011.09.21
  • FF, SP CONVERSION
    ISE는 기본적으로 XST라는 자체 합성툴을 사용하지만 조건에 따라서는 외부 합성툴을 사용하기도 하며 그 대표적인 것이 신플리서티에서 나온 ‘Synplify’라는 툴이다. ... CodeRSNANDFF.vhdentity rs_ff isPort ( reset, set, EN : in STD_LOGIC;q, qb : out STD_LOGIC);end rs_ff;architecture
    리포트 | 14페이지 | 1,000원 | 등록일 2010.03.26
  • (디지털시스템설계)VHDL RS_Latch
    10/18/2010-- Design Name:-- Module Name: rs_latch - Behavioral-- Project Name:-- Target Devices:-- Tool ... ( set : in STD_LOGIC;reset : in STD_LOGIC;q : buffer STD_LOGIC;qb : buffer STD_LOGIC);end rs_latch;architecture
    리포트 | 7페이지 | 1,000원 | 등록일 2010.12.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대