• 통큰쿠폰이벤트-통합
  • 통합검색(291)
  • 리포트(251)
  • 논문(29)
  • 시험자료(6)
  • 자기소개서(3)
  • 서식(2)

"Signal Operation" 검색결과 121-140 / 291건

  • Pspice를 이용한 FET Common Drain Amplifier 설계
    .-: Output Impedance를 조절해주기 위한 저항-: 입력전압에서 DC 성분을 제거 (Filter 역할)-: 입력 Impedance-: 전체 회로의 구동 전압, Operation ... Common Drain Amplifier* Small Signal Voltage Gain만일가에 비해 작고,가 매우 큰 값이라고 한다면, 위 식은 다음과 같이 간단 화시킬 수 있다. ... 때문에 동작점은로 결정하였다.* 8의 출력 임피던스를 만들기 위해 필요한 저항값은 다음과 같이 구할 수 있다.* 회로의 Small Signal Voltage Gain은 다음과 같다.
    리포트 | 7페이지 | 2,000원 | 등록일 2011.06.01
  • Term Project Report Traffic Light Controller
    emergency prior and in order to make, it composes.1.3 Composition method of signal light▶ Function 1: Signal ... operation circuit plan.1.2 Operational principle of signal light ▶ The signal light had the system of ... The signal light of the vehicle south zebra crossing becomes operation (green) in east going straight
    리포트 | 16페이지 | 5,000원 | 등록일 2011.06.09
  • GC
    7890A그림에 보이는 GC는 Agilent사의 7890A입니다.그림에서 보이는 왼쪽부터 Auto Liquid Sampler ; 자동시료주입기, inlet, Oven, Detecter,Operating ... , Methanol(HPLC급)로 한번 더 헹굼.⑦ Oven 온도 50℃ 미만에서 자연 건조 시킴 (20-30분 정도)⑧ Column을 재설치하고 검출기 온도를 올려 점화 시키고 Signal
    리포트 | 5페이지 | 1,000원 | 등록일 2014.03.25
  • Business Ethics /마이크로소프트 독점에 대하여 / 영문파워포인트
    had helped the balance of trade and contribution to thedeLargest Market of chips Developed “Native Signal ... constantly threatened Microsoft's dominance.Microsoft's SideBundling Internet Explorer is to enhance the operating
    리포트 | 31페이지 | 3,500원 | 등록일 2014.02.04
  • Web2.0
    Signals - The use of syndication technology such as RSS to notify users of content changes.4.How it worksThe ... XML, to represent it as one of the technology itself, rather than traditional desktop applications to operate ... share ideas; improved access to knowledge experts; and reduced costs of communications, travel, and operations
    리포트 | 12페이지 | 1,000원 | 등록일 2012.12.13
  • [VerilogHDL] CLA(Carry Look ahead Adder)를 이용한 16bit 고속 가산기 설계
    올림수(carry)의 값을 미리 계산하기 위해 Generate Signal과 Propagation Signal을 정의해야한다.- Carry Generate : Ai와 Bi가 모두 1인 ... 각각의 4bit adder는 Cat Carry Look ahead Adder operation 9~12bit sum and carryCLA_44 bit Carry Look ahead ... Adder operation 13~16bit sum and carry- Wire Configuration이름비고sumCLA_1~4의 연산 결과를 sum에 연결carry_outCLA4의
    리포트 | 6페이지 | 2,500원 | 등록일 2013.05.25
  • Chapter 4 focused on the Human Learning
    Signal learning ? classical conditioning response 2. ... and then the sound of the bell is neutral stimuli also salivation is conditioned responses.Skinner’s operant ... and controls efficient mental or cognitive processing.- The Audiolingual Method : The classical and operant
    리포트 | 3페이지 | 2,000원 | 등록일 2012.10.31
  • Discrete-Time 시스템의 기초에 관한 발표자료입니다.
    Operations on SequencesIMPORTANT DISCRETE-TIME SIGNALS □ A discrete-time signal expression way - A ... Fundamentals of Digtal Signal Processing November 17th, 2009 Tuesday Fundamentals of Discrete-Time SystemsBASIC ... discrete-time system - Deterministic / Random system - Input set / Output setIMPORTANT DISCRETE-TIME SIGNALS
    리포트 | 35페이지 | 2,000원 | 등록일 2010.10.13
  • Pharmacode System 사용 방법
    Reset 시키는 기능을 가지고 있다.Panel 각부 명칭그림 1-1 Front panelPower 표시 램프System Error 표시 램프Sensor 상태 표시 램프Fault Signal ... headPharmacode를 읽어 들이는 부분이며, ARGUS 6012 Front Panel에 최대 4개의 Sensor를설치 할 수 있다.3) 동기 SensorPharmacode sensor 작동 위치 Signal을 ... Visualization (시각화)Key switch 위치 “Operating mode RUN” 에서 Main menu key “F1” 을 누른다.1-7 시각화 지시창8.1 F3 “
    리포트 | 9페이지 | 2,000원 | 등록일 2010.09.22
  • 실험4(예비)
    Reception of AM Signals1. ... 수신기 등에 이용되어 진다.Simplified block diagram of a superheterodyne receiver2) What are the four principal operations ... Exercise 21) An AM receiver tuned to a station at 600 kHz uses a 455 kHz IF and the local oscillator operates
    리포트 | 2페이지 | 1,000원 | 등록일 2012.01.02
  • 교통신호(교통신호등)의 종류, 기능, 교통신호(교통신호등)와 LED교통신호, 교통신호(교통신호등)와 교통편익 비용분석, 가로망설계모형, 교통신호(교통신호등)와 신호최적화모형 분석
    SOAP(Signal Operations Analysis Package)2. TEXAS Mode3. ... SIDRA(Signalized-Unsignalised Intersection Design & Research Aid)4. ... Passer Ⅱ-90(Progression Analysis and Signal System Evaluation Routine)5. TRANSYT-7F6.
    리포트 | 12페이지 | 5,000원 | 등록일 2013.04.01
  • 선형레귤레이터 예비(Pspice simul)보고서
    Operation회로전압SABNode전압(V)Vp+Vp-S0.05-0.05A-3.016-3.049B-3.659-3.692전압이득S to BVp+-73.18Vp-73.84A to BVp ... Operation회로전압SABNode전압(mV)Vp+Vp-S100-100A99.374-99.750B0.206-0.205전압이득S to BVp+-73.18Vp-73.84A to BVp ... uA)Ib6.379-4.956Ic634.02640.28Ie-640.39-635.34Node전압(V)A0.677B-0.679C0.065D-0.063E4.936F-4.937signal Operation회로전압SIHNode전압
    리포트 | 16페이지 | 1,000원 | 등록일 2010.10.28
  • PLLT Ch.4,5,6,10
    (Law of Effect)- Skinner's operant conditioning조작적 조건화에서는 자극은 중요하지 않다. ... 비효과적포섭연관, 체계적 망각인지적 가지치기[Roger]자신의 기능을 완전하게 발휘하는 사람어떻게 학습하는지 배움학습자들의 공동체학습자에게 권위부여Type of learning① Signal ... 그러므로 인간의 행동을 통제하려면 강화물에 대해 신중하게 생각해보아야 한다.- Operant(조작자)란 반응의 집합체, Respondent(반응자)는 확인 가능한 자극에 의해 유도된
    리포트 | 17페이지 | 3,000원 | 등록일 2013.08.27
  • DSPpre-1
    spatial domain and also the methods which operate in the frequency domain가) Operate in the Spatial Domain1 ... 0~N-1까지의 Sampling Instant에서 Sample이 있으면 그대로 복사해서 N~2N-1까지 Mirroring을 하여 N 축을 기준으로 대칭이 된다. 0~N-1까지의 Signal을 ... 오른쪽 그림에서 볼 수 있듯이 Yb 는 원래의 사진의 명암(빛의 세기)을 표현한다.3.Explain the image resizing methods which operate in the
    리포트 | 7페이지 | 5,000원 | 등록일 2012.08.30
  • 단안정 멀티 바이브레이터
    PWM IC Operation Test0) [그림4]과 같이 회로를 구성한다.1) Operation Range Test) PWM Signal이 출력되는지 확인하고, 이 Signal이 ... (Datasheet와 비교)나) PWM Signal이 출력되는 상황에서 Vcc 낮추어 Signal이 출력되는 최소 전압을 찾아 보자. ... : 15.8V , datasheet : 16V▷ PWM Signal이 출력되는 상황에서 Vcc 낮추어 Signal이 출력되는 최소 전압- 측정 : 8.2V , datasheet :
    리포트 | 6페이지 | 1,500원 | 등록일 2008.12.12
  • 전기전자 응용실험_11주차 결과 보고서
    Code to Generate the Control Signal >WheelRight WheelLeft WheelDirectionPortStraightBackStraightBack2 ... Operation of Motor Board< Figure 2. ... However, in this stage, we implement one DC motor to verify the operation.
    리포트 | 3페이지 | 1,000원 | 등록일 2011.07.09
  • 실험5(예비)
    What is the basic principle behind the operation of a VCO? ... Generation of FM Signals■ New terms and words- free-running frequency : 외부 신호가 없을 때 회로의 진동주파수.
    리포트 | 2페이지 | 1,000원 | 등록일 2012.01.02
  • NMR,MRI 구조/원리/이론
    Keyboard로 되어있으며, CT와 유사하게 Operating Console과 Remote Console로서 구분 제작되는 경우도 있다. ... Operating consoleOperating Console은 일반적으로 MR영상을 보여주는 Momitor와 Keyboard 그리고 Scan 조건과 Scan 상황을 보여주는 Momitor와 ... 이때 RF Power가 차단되면 여기된 원 자핵은 RF Coil에 있는 전류를 유도시키면서 약한 RF Signal을 발생시키게 된다.
    리포트 | 11페이지 | 4,000원 | 등록일 2010.10.27 | 수정일 2013.12.02
  • [PPT] VHDL 문법
    remainder) Abs 절대값 (absolute value) ** 제곱 (exponentiation)44 산술 연산자 2 사용 예 architecture HB of ARITH_OPER ... = ‘1’ then -- SIGNAL1 의 값이 ‘1’ 일 때 하위 수행 if SIGNAL2 = ‘0’ then -- SIGNAL1, SIGNAL2 의 값이 동시에 만족할 때 수행 ... SUM = 0; else SUM = 1; end if; else -- SIGNAL1 의 값이 ‘0’ 일 때 하위 수행 if SIGNAL2 = ‘1’ then -- SIGNAL1,
    리포트 | 86페이지 | 1,000원 | 등록일 2010.06.12
  • 기초전기회로실험 실험32 신호발생기의 동작 예비레포트
    제 목 :신호 발생기 동작(Signal Generator Operation)2. 목 적 :1. (AF)신호 발생기의 동작 특성을 조사한다.2.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.12.02 | 수정일 2013.10.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:36 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대