• 통큰쿠폰이벤트-통합
  • 통합검색(291)
  • 리포트(251)
  • 논문(29)
  • 시험자료(6)
  • 자기소개서(3)
  • 서식(2)

"Signal Operation" 검색결과 141-160 / 291건

  • [전자회로실험]실험7예비 Output Stage
    Operation :1) 입력 노드 I에 0.2VPP, 1kHz 삼각파형을 인가해 준다. ... Operation :1) R2=10kΩ, load RL=10kΩ으로 구성하고, 입력 노드 S에 0.1VPP, 1kHz 삼각파형을 인가해 준다.2) Oscilloscope를 이용하여 ... -649[mV]C노드 : 4.960[V]D노드 : -4.959[V]E노드 : -4.338[V]F노드 : -4.907[V]S노드 : 0[V]※ Q1에 흐르는 IE, IC, IB 전류Signal
    리포트 | 15페이지 | 1,000원 | 등록일 2010.05.05
  • 전자전기컴퓨터설계실험1 8주차 Postlab OpAmp
    Introduction1) Purpose of this LabOp-Amp(operational amplifier)의 작동 원리를 이해하고 여러 가지 Op-Amp Circuit(반전증폭기 ... filter처럼 Siganal Processing 을 하며 Power 요소를 고정시고 Energy를 저장하는 역할을 함.③ InductorCapacitor와를 달리 Analog Signal
    리포트 | 17페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • [소방발표]NFPA72 발표자료 PPT[고급애니메이션적용] 할인자료
    its own, individual signal, each of the detectors within the combination detector has the ability to operate ... pathway shall be designated as Class B when it performs as follows: NFPA **-**** National Fire Alarm and Signaling
    리포트 | 23페이지 | 2,000원 (40%↓) 1200원 | 등록일 2013.09.14
  • SEM발표
    Medium Ultrafine High Scan speed : Fast Medium Slow-( CONCLUSION ) 결과값을 미세하게 분석하는 방법 SEM 의 영상의 형성 ( 방출하는 Signal ... 등록된 Operator 선택Icon 을 Click 하면 Autobeam 이 실행된다 Icon 을 Click 하면 X-ray Analysis Program 이 실행된다. ... ( 구조를 알아보고 원리 파악했으니 , 실험하는 방법을 알아보자 . ) - SEM operation 의 최적화에 고려해야 될 중요한 몇가지- How to get a good Image
    리포트 | 25페이지 | 3,000원 | 등록일 2010.09.17
  • 자동차 MIRR의 기능
    Turn Signal Lamp 3. ... Operation: The Turn signal operation is controlled by C_TSigRHOutSignalsValue for TimeoutBehavior for ... Turn Signal LampOFFOFFONONOUTPUT (O_TurnSignalLamp)INPUT (C_TSigLHOut)*1 : Old Value : if S_IGNSWDDM=
    리포트 | 37페이지 | 2,000원 | 등록일 2009.04.20
  • VHDL기초강의
    when a b else b; 덧셈 연산자 (adding operator) +, - , 예) z = a + b; 단항연산 (unary operator) + ,- 예) R = - a; ... s1, s0 : OUT STD_LOGIC ; Cout : OUT STD_LOGIC ) ; END adder4 ; ARCHITECTURE Structure OF adder4 IS SIGNAL ... 1164)를 발표하였다 1993년에는 VHDL1076-1987에 대한 새로운 버전인 IEEE1076-1993 발표*VHDL을 시뮬레이션하고 합성하는 Tool은 대edure)에서만 사용 Signal
    리포트 | 106페이지 | 1,000원 | 등록일 2010.05.11
  • 예비1
    Then, Signal processing circuit sends it to a LED drive circuit and output circuit. ... 6.1.2.LM7805CT is 3-terminal 1A positive voltage regulator whose output vlotage toleranceis ±4% and operating ... Oscillation circuit operates between signal processing circuit and LED drive circuit(an optical filter
    리포트 | 5페이지 | 1,500원 | 등록일 2011.06.01
  • The Frequency Equalizer
    [Digital Signal _processing_project #3]The Frequency Equalizerグ. ... 1) complex additions [of which O(N) operations can be saved by eliminating trivial operations such as ... An FFT is any method to compute the same results in O(N log N) operations.
    리포트 | 13페이지 | 1,000원 | 등록일 2010.10.09
  • MRI (Magnetic resonance imaging)
    (b)는 FLAIR(TR/TE 1000/119, T1 2500)로 촬영하였으며 병소부위가 더욱 뚜렷하게 관찰되었다MR ParameterMRI의 구성Gantry Operating Console ... 만약 TE가 길면 두 조직의 Signal 차이가 없으므로 T1 contrast 효과가 떨어진다.T2 weighted ImageTR과 TE를 조절 T2성질을 이용해 영상화 TR을 길게 ... 그러므로 TE가 짧은 상태에서 Signal을 얻어야 한다.Gradient echo(경사자장 에코)경사자장 에코신호와 스핀에코의 다른점 스핀의 재자화를 위한 180° RF pulse대신에
    리포트 | 52페이지 | 1,500원 | 등록일 2010.10.28
  • 전자전기컴퓨터설계실험1_8주차_Prelab_OpAmp
    Introduction1) Purpose of this LabOp-Amp(operational amplifier)의 작동 원리를 이해하고 여러 가지 Op-Amp Circuit(반전증폭기 ... filter처럼 Siganal Processing 을 하며 Power 요소를 고정시고 Energy를 저장하는 역할을 함.③ InductorCapacitor와를 달리 Analog Signal
    리포트 | 19페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 배치설계설명서 [특허청 행정서식]
    Multiplexer, Analog Switch,Clock Synthesizer, Coder/Decoder(CODEC),Converter, Graphics & Video Modules,Operational ... InterfaceAccelerator, Building Block, Correlator,DSP Core, Filter, Transform,Video Encoder/DecoderDigital Signal
    서식 | 4페이지 | 무료 | 등록일 2014.06.23
  • MIS7 통신, 인터넷 그리고 무선 기술
    네트워크 구성요소 간의 연결을 위한 매체.NOS=Network Operating System (네트워크 운영 시스템) (윈도우, 리눅스 등등)네트워크 상의 통신에 대한 경로를 설정, ... 확보하고 순서에 따라 패킷을 전송하며 전송된 패킷의 수신을 알림IP(Internet Protocol): 패킷의 전송과 전송과정에서의 패킷 분해, 재조합 담당7.2 통신 네트워크-Signals
    리포트 | 6페이지 | 2,500원 | 등록일 2013.09.15 | 수정일 2013.09.24
  • 전자회로 설계 및 실험 2 BJT 동작 - 대신호 소신호 동작 예비보고서
    또한 다이오드 증폭 회로와 마찬가지로 BJT 증폭회로의 분석에서도 바이어스 점(Bias point, 또는 동작점(Operation point)로 표현)에 비해 상대적으로 매우 작은 ... /Small Signal Model) 위는 npn형 BJT를 대신호/소신호 모델을 적용한 경우의 간략화한 회로이다.Ⅰ) DC 동작을 포함한 바이어스 동작을 분석하는 경우,베이스 이미터 ... 되므로=트랜스컨덕턴스(Transconductance)은 컬렉터 전류와 베이스 - 이미터간 전압의 미세 변화의 비로 정의되며,==로 나타낼 수 있다.대신호/소신호 모델(Large Signal
    리포트 | 10페이지 | 2,000원 | 등록일 2012.04.15 | 수정일 2016.06.21
  • [전자회로실험]실험7결과 Output Stage 입니다.
    소자 문제만 해결되면 더 정확한 값을 얻을 수 있을것이다.※ Q1 & Q2의 전류Q1의 전류Q2의 전류Signal Operation :1) 입력 노드 I에 0.2VPP, 1kHz 삼각파형을 ... Operation :1) R2=10kΩ, load RL=10kΩ으로 구성하고, 입력 노드 S에 0.1VPP, 1kHz 삼각파형을 인가해 준다.2) Oscilloscope를 이용하여 ... Operation :1) R2=10kΩ, load RL=10kΩ으로 구성하고, 입력 노드 S에 0.2VPP, 1kHz 삼각파형을 인가해 준다.2) Oscilloscope를 이용하여
    리포트 | 27페이지 | 1,000원 | 등록일 2010.05.11
  • 전기전자 응용실험_11주차 예비 보고서
    L297 and L298 Outline Drawing >SymbolParameterValueSupply Voltage10VInput Signals7V< Table 8. ... Operation with L293 according to Port >If we want to use the TA7279 as DC motor driver, we will design ... Tables for SLA7024 >Sequence01230InputHLLHHInputLHHLLInputHHLLHInputLLHHLOutput ON< Table 7. 2-Phase Operation
    리포트 | 3페이지 | 1,000원 | 등록일 2011.07.09
  • 통신실험 예비5
    What is the basic principle behind the operation of a VCO? ... 주파수변조지수으로 주파수변조신호의 위상 편이를 나타낸다.Direct Generation of Generating FM Signals주파수가 주어진 신호전압과 선형적으로 같이 변하는
    리포트 | 4페이지 | 2,000원 | 등록일 2012.01.18
  • 매트랩을 이용한 음성과 이미지 처리
    I have studied about signal and how to process a signal in this class, `DSP(Digital Signal Processing ... It is comparable characteristic with other low-pass filter is that it operate in time domain or `n` domain
    리포트 | 10페이지 | 4,000원 | 등록일 2009.06.26
  • JAVA Profiling
    사용자 관점에서는 두 Operation은 동일한 성능) 위의 두 Operation에 대해 Profiling을 수행하면, Operation A가 Operation B에 비해 훨씬 많은 ... )HProf에 의한 출력결과는 기본적으로 JVM이 종료(Exit)되는 시점에 Dump 파일에 저장된다. doe=n 옵션을 이용하면 Signal을 통해 특정 시간대에 Profiling ... y verbose=y|n print messages about dumps y Obsolete Options ---------------- gc_okay=y|nProfile 기록(Signal
    리포트 | 26페이지 | 3,000원 | 등록일 2009.03.17
  • BPSK를 이용한 변복조모델과 분석 (AWGN채널을 통한 잡음 추가)
    새로 찾은 M파일 사용2.2 기능 블록 설계(Functional Block Design) ― Functional Block DiagramM-scriptSimulinkBaseband Signal ... 원래신호의 생성- BPSK를 통한 변조- 랜덤노이즈를 생성해 전송시 추가- BPSK를 통한 복조3.2 변조기(Modulatorh그림4-2Simulink Scope4.1 동작 검증(Operation ... Receiver) if applicable)4 수치(혹은 시뮬레이션 혹은 에뮬레이션) 결과(Numerical or Simulation or Emulation Results)4.1 동작 검증(Operation
    리포트 | 14페이지 | 2,000원 | 등록일 2012.02.10
  • lab6-pre Audio Amp & Voice Recorder.hwp
    Pin configuration & description3) Electrical Characteristic- DC characteristic - AC characteristic4) Operation ... response : 20Hz ~ 20kHz (-3dB)- Input sensitivity : 40mV / 150kΩ- Power supply : 15VDC (8 ~ 18VDC) / 0.5A- Signal-to-Noise
    리포트 | 6페이지 | 1,000원 | 등록일 2010.08.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:46 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대