• 통큰쿠폰이벤트-통합
  • 통합검색(243)
  • 리포트(197)
  • 자기소개서(45)
  • 이력서(1)

"VHDL 설계 실습" 검색결과 121-140 / 243건

  • [디지털시스템][VHDL} Design 4-bit Right Shift Resister 설계
    이번 실습에서는 4개의 flip-flop이 연결된 '4-bit Right Shift Register'를 설계한다. ... 이번 설계를 위해서는 기본적인 VHDL에 대한 지식이 있어야 하고, 세부적으로는 process문을 다루는 것이 가능해야 하고, testbench source가 주어지지 않았으므로 직접 ... 이번 과제를 통해 VHDL code 작성법에 대해 정리할 수 있었다. 그리고 testbench code의 작성도 배웠다.
    리포트 | 11페이지 | 2,000원 | 등록일 2014.05.07
  • 2017 NH농협은행 IT직무 자기소개서, 자소서, 취업
    다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했지만 결국 마감일까지 완성을 못했습니다. ... FPGA를 설계하는 수업인 임베디드 시스템을 수강하였습니다. ... 끝까지 해보자는 책임감을 가지고 처음부터 다시 설계를 하였습니다.
    자기소개서 | 4페이지 | 4,000원 | 등록일 2017.10.25 | 수정일 2018.05.18
  • 논리회로실험 - 제 10장 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계 결과보고서
    이번 실험은 VHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이었다 ... 이를 통하여 LCD display도 KIT에 올려서 실습해자. ... IntroductionVHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이다
    리포트 | 32페이지 | 1,000원 | 등록일 2014.08.15
  • 2010310961.이동녕.HW1
    right shift register를 VHDL을 이용해 programming하고, 그에 대한 결과를 확인하기 위한 testbench를 짜는 것이 목적이다. ... 난이도를 결정하였다.Problem statement①Describe what is the problem.이번에 설계한 register는 4bit right shift register로써 ... 제 명 : HW1 보고서담당교수 : 민 형 복학 과 : 전자전기전공학 년 : 3학 번 : 2010310961이 름 : 이 동 녕제 출 일 : 2014.04.13Purpose이번 실습
    리포트 | 7페이지 | 1,000원 | 등록일 2015.06.07
  • VHDL을 이용한 리듬게임
    VHDL 관련 컴퓨터 실험 과목에서 A+ 받은 작품입니다.아래 내용은 알집 안에 첨부된 설명서 입니다.이 외에도 소스, 관련 사진, 영상 등 자료가 포함되어 있습니다.source는
    리포트 | 4,000원 | 등록일 2016.04.12 | 수정일 2016.05.17
  • 2017 한전KPS 전산직 자기소개서
    다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 결국 마감일까지 완성을 못했습니다. ... ㆍ포기하지 않고 업무를 수행한 이유와 그 결과에 대해 기술해 주시기 바랍니다.(600자)3학년 때, VHDL로 FPGA를 설계하는 수업인 임베디드 시스템을 수강하였습니다. ... (600자)4학년 여름방학에 삼성전자서비스에서 2달간 에어컨기술상담원으로 현장실습을 하게 되었습니다. 문제는 인센티브 때문에 발생하였습니다.
    자기소개서 | 5페이지 | 8,000원 | 등록일 2017.05.01 | 수정일 2017.10.03
  • 2017 하반기 예금보험공사 IT직 자기소개서
    지원자의 노력에도 불구하고 목표를 달성하지 못했던 경험을 기술해 주세요.(300자)3학년 1학기 때 VHDL로 FPGA를 설계하는 수업 이였습니다. ... 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다. ... 실습을 하면서 상담을 많이 하면 인센티브를 주었는데 이러한 방식 때문인지 많은 상담원들은 간단하게 상담을 끝내고, 심지어 대충 상담하는 경우도 있었습니다.
    자기소개서 | 4페이지 | 5,000원 | 등록일 2017.10.23
  • 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06-Post
    Post-lab Report전자전기컴퓨터설계실험Ⅱ7주차. ... toggle하고 싶을 경우, GPIO->ODR^=CNT; 단, CNT로 define된 bit는 CNT를 enable하는 bit여야한다.Reference교안 – Verilog HDL 실습 ... #04 Combinational Logic Design, Arithmetic Logic and Comparator, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • VHDL을 이용한 가산기설계 2
    VHDL을 이용한 가산기설계 2 B반 5조 2009312075 차승현 2013. 04. 10 Introduction 5주차 실습이었던 가산기 설계 실습은 저번 주 실험과 주제는 일치하였다 ... GP Full Adder GP Full Adder은 저번 실습설계한 Full Adder와 비슷한 형태이다. ... 그렇기 때문에 저번 실습시간에 설계했던 Ripple Carry Adder와 달리 CLA는 연산에 이용될 input의 bit가 커지더라도 연산에 필요한 시간에는 큰 차이가 없다는 것이
    리포트 | 19페이지 | 2,000원 | 등록일 2014.06.10 | 수정일 2022.11.07
  • 2017년 상반기 LG CNS SI/SM 직무 합격 자기소개서 자소서
    ‘프로그래밍에 대한 지속적인 관심’프로그래밍에 대한 관심은 학부 2학년에 논리회로설계 과목을 수강할 때, VHDL을 사용하여 다양한 실습을 하면서 시작되었습니다. 7 segment를 ... 마지막 학기인 지금도 역량을 발전시키기 위해 전자전기프로그래밍 실습 과목을 수강하면서, 포인터와 어레이, Txt 파일과 Binary 파일의 입출력 실습, 동적 메모리 할당을 이용해서 ... stack 메모리를 사용하지 않고 어레이를 사용하는 다양한 실습, 구조체 실습 등을 노력하는 자세로 끈기 있게 매주 헤쳐나가고 있습니다.Q3. 10년 뒤 본인이 기대하는 모습을 기재하여
    자기소개서 | 2페이지 | 3,000원 | 등록일 2017.07.19
  • 최신 2017 SEMES 합격 자소서(세메스 합격 자기소개서)
    설계 및 검사 부분입니다. ... 모교의 특성상 실습이 50%를 차지하여 밤 새우는 일이 많았습니다. ... 이 목표를 위해 공대에 진학하게 되었고 전자공학과에서 반도체와 디스플레이 이론과 실습을 배울 수 있었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2017.09.08 | 수정일 2017.09.11
  • 서울시립대 전자전기컴퓨터설계실험2 제04주 Lab03 Post
    Lab#03 Verilog HDL, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX연구소 ... Post-lab Report전자전기컴퓨터설계실험Ⅱ4주차. ... 직관적인 단순 bitwise operation보다 벡터를 활용한 Behavioral Modeling을 이용하는 것이 간편할 것이다.Reference교안 – Verilog HDL 실습
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • [VHDL] 전감산기의 설계
    전감산기를 설계하는 과정을 통해 조합논리회로를 VHDL설계하는 방법을 공부한다. 또한 이 실습을 통해 if~end if 구문을 배울 수 있다.3. ... VHDL설계한 전감산기를 컴파일, 시뮬레이션하고 시뮬레이션 결과를 진리표와 비교한 후 아래에 보여라.Schematic 설계의 시뮬레이션과 같은 방식으로 시뮬레이션 하였다.Xi,Yi ... 다음 표는 입출력에 할당된 장치의 종류이다.전감산기의 Schematic과 VHDL 설계설계에서 생성된 pof 파일을 DigComV32 키트에 다운로드하여 실행한 결과를 진리표와
    리포트 | 6페이지 | 1,000원 | 등록일 2012.12.13
  • 논리회로실험 - 제 5장 ALU 코드를 KIT에 올리는 실험 결과보고서
    코드를 구성하는 기본 설정(1)설계 사항2)-실습시간에 사용한 8 bit ALU를 사용한다.-4개의 스위치를 이용해 4bit state로 각 연산을 선택한다. ... Design(1)어떠한 회로를 설계할 것인가 1)1)ALU-4bit State(상태) 별 동작-ALU의 동작방법1. ... WebPack을 실행시켜서 프로젝트를 새로 생성하고 이에 따른 VHDL 모듈을 선택한다.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • [디지털시스템][VHDL] clock-MODE-GEN 설계
    과 목 : 디지털 시스템과 제 명 : MODE_GEN 설계담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.05.04.Purpose이번 실습을 통해 ... 그리고 전체 회로를 VHDL로 표현할 수 있어야 한다.Problem statement① Describe what is the problem.Mode Generator를 설계해야 한다 ... Digital Clock Chip 중 Mode Generator를 설계한다.
    리포트 | 10페이지 | 2,500원 | 등록일 2014.05.07
  • [VHDL] 디멀티플렉서 설계
    따라서 본 실습에서는 디멀티플렉서의 동작을 이해하고, VHDL이 회로로 합성되는 과정을 이해한다.∙ 실습 내용1. ... [그림 3-26] 블록도와 진리표 같이 동작하는 디멀티플렉서를 설계하라. ... 이것은 VHDL이 합성되는 과정에서 그 전의값을 유지하기 위해 래치를 포함시키기 때문이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.16
  • 2017 상반기 포스코ICT 채용형인턴 연구개발 자기소개서
    무엇이 잘못 됐나 발견을 못하고 결국 처음부터 설계를 하기 로 했습니다. 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. ... 하지만 실패를 맛 본 건 VHDL로 FPGA를 설계하는 수업 이였습니다. 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다. ... 기울어지는 각도만 파악했어도 많은 생명을 구할 수 있었을 겁니다.4학년 여름방학에는 삼성전자서비스에서 현장실습을 2달간 한 경험이 있습니다.
    자기소개서 | 7페이지 | 5,000원 | 등록일 2017.02.10 | 수정일 2017.03.01
  • 순차회로 설계 - 카운터 예비보고서
    순차회로 설계 - 카운터1. 실험 목표- 카운터의 종류와 각각의 기능에 대해서 이해를 하고, 이해한 내용을 바탕으로 VHDL 코딩 실습을 한다. ... 또 클럭 분주기의 기능을 이해하고 VHDL 코딩의 시뮬레이션에 활용한다.2. ... 과 목 : 논리회로설계실험과 제 명 : 순차회로 설계 - 카운터담당교수 :담당조교 :학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 : 2014. 5. 15논리회로설계
    리포트 | 8페이지 | 1,000원 | 등록일 2014.07.25
  • 2016년 하반기 sk텔레콤 자기소개서
    하지만 실패를 맛 본 건 임베디드 시스템 수업과 디지털합성설계 수업. 이 두 과목은 모두 VHDL로 FPGA를 설계하는 수업 이였습니다. ... 무엇이 잘못 됐나 발견을 못하고 결국 처음부터 설계를 하기 로 했습니다. 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. ... 많은 시간을 VHDL설계 하는데 투자를 했지만 완성을 못하고 다른 시험공부도 못한 것이 바보 같았습니다.
    자기소개서 | 6페이지 | 5,000원 | 등록일 2016.10.17 | 수정일 2017.09.21
  • 2018 CJ올리브네트웍스 소프트웨어개발, 자소서, 자기소개서
    FPGA를 설계하는 수업인 임베디드 시스템을 수강하였습니다. ... 또한 삼성전자서비스에서 2달간 기술지원상담원으로 현장실습을 해본 경험을 통해 진정한 서비스가 무엇이고, 고객에게 어떻게 서비스를 제공하는지에 대해 많은 것을 배웠기 때문에 고객중심 ... 선정한 이유② 해당 사례에서 본인이 스스로 끈기를 가지고 노력한 과정과 결과③ 이를 통해 입사 후 기여할 수 있는 점은 무엇인지에 대하여 작성해 주세요. (1500자)① 3학년 때, VHDL
    자기소개서 | 2페이지 | 5,000원 | 등록일 2018.05.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:27 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대