• 통큰쿠폰이벤트-통합
  • 통합검색(1,852)
  • 리포트(1,688)
  • 시험자료(100)
  • 자기소개서(47)
  • 방송통신대(6)
  • 논문(5)
  • 서식(5)
  • ppt테마(1)

"W/S 제어신호" 검색결과 121-140 / 1,852건

  • 동역학실험
    theta = 0여기서w _{n}과zeta 는 다음과 같이 정의 된다.w _{n} =L _{k} sqrt {{k} over {J}}zeta ={cL _{c} ^{2}} over 소함을 ... 응답은 위의 식의 특수해로 표현되며 다음과 같다.theta _{p} (t)=Xcos(w _{0} t- EMPTYSET )여기서X= {F _{0}} over {sqrt {(w _{n ... 본 실험의 목적은 질량-스프링-감쇠기로 이루어진 1자유도 진동계를 자유진동 시킬떄 발생하는 진동 신호를 측정하여 진동주기, 고유진동수, 감쇠계수, 감쇠비 등의 상관관계를 관찰하고 1자유도
    리포트 | 35페이지 | 무료 | 등록일 2021.09.24
  • 자동차융합실험 레포트 진동신호 측정 및 주파수 실험
    이 응답을 그림으로 그리면 다음과 같다.위와 같은 그림에서 주파수 응답 함수(FRF)를H(w)라고 하면,H(w)= {Output} over {Input} = {V _{o} (w)} ... 또한, 이 System의 전달함수H(s)에 주파수에 관한 식을 대입하면, 이를 주파수 응답 함수(FRF)라고 한다. ... 이 특성을 통해 조명 제어 프로토콜을 사용하여 밝기 제어 및 색 제어를 통해 조명을 제어한다.
    리포트 | 15페이지 | 4,500원 | 등록일 2020.12.01
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서8
    데이터를 레지스터에 기록하기 위해서,쓰기/시프트 제어신호는 반드시 0으로 고정되어야 한다.데이터를 시프트하기 위해서, W/S 제어신호는 1 이고 레지스터는 클럭이 입력된다. ... Clear 신호는 클럭 신호가 인에이블 되기 전에 모든 레지스터를 ‘0’ 상태로 만드는데 사용? ... 공통의 클럭 입력하여 다음 상태로의 이동 제어? 4개의 JK 플립플롭을 동시에 상승 펄스로 데이터를 레지스터에 저장?
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.24
  • 전자회로와 계측법 실험-RLC실험 보고서
    함수 발생기 소프트프런트패널의 제어판에서 신호의 주파수와 진폭을 조절한다.4. RC회로의 전압 신호를 분석하기 위해 ‘NI ElVIS 메뉴’에서 ‘오실로스코프’를 선택한다.5. ... 저항의 걸리는 전압V_out={w ^{2} R ^{2} C ^{2} +jwRC} over {1+w ^{2} R ^{2} C ^{2}} V _{i n}에서 w ={ 1} over {RC ... 이때의 합성저항은Z~=sqrt {(X _{c} ^{2} +R ^{2})} =sqrt{2R^2}=sqrt{2}R 이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.01.30
  • 컴퓨터 통신과 네트워크에 대한 레포트
    S/W 자원을 공유H/W 공용데이터 공용프로그램 공용부하 분담확장성신뢰성 확보실시간 처리네트워크 구성종류근거리 통신망(LA간 형태로 백본 네트워크와 LAN을 연결트래픽이 불필요하게 ... 많은 경우 서비스가 지연중앙 제어기기에 장애가 발생되면장점스타형과 비슷하나 스타형에 비해 중앙 전송 제어 장치에 더 많은 장비를 연결할 수 있음단점단점 역시 스타형과 비슷하나 스타형에 ... 영역으로 나누어 사용TDMA(Time Division Multiple Access) : 하나의 중계기를 매개로 하여 다수의 기지국이 다중접속하여 동일 주파수대를 시간적으로 분할하여 신호
    리포트 | 9페이지 | 1,000원 | 등록일 2020.09.08
  • 기초전자회로실험 - RL,RC 직렬및병렬회로 예비레포트
    ,`Li`=` int _{0} ^{t} {v _{m} coswt} `=` {v _{m} sinwt} over {w} `=` {v _{m} cos(wt-90)} over {w}#즉,` ... Duty Cycle: 서보모터를 제어해야할 때, 잘 움직이지 않는 경우가 있다. ... 오실로스코프 :오실로스코프는 전기적인 신호를 화면에 그려주는 장치로서 시간의 변화에 따라 신호들의 크기가 어떻게 변화하고 있는지를 나타내 준다.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.02.27
  • 전자기기기능사 필기 요약본
    ▶선형리스트=queue, deque, stack▶VON NEUMANN=제어,전달,함산연산기능▶ACESS TIME=어떤데이터를 읽거나 기 억시키는 명령이 시작된 순간부터 명령의 수행이 ... 완료되는 순간까지 소요되는 시간▶Z-80 CPU 4바이트-->OP1-->OP2--> 오퍼랜드1-->오퍼랜드2▶제어신호=CPU에서 마이크로 동작 순차적▶데이터 관리프로그램=시스템에서 ... 전압을 증폭▶3S와 5S의 콘덕턴스 병력 접속-->합성 값=8S▶시정수가 대단히 큰 저역통과 RC회로=적분기▶압력을 변위로 변화하는 것은=스프링▶전류계로 사용=열전형,유도형,전류력계형
    시험자료 | 6페이지 | 2,000원 | 등록일 2022.03.15
  • 전류원과 전류 미러 예비보고서 [인하대 전자공학실험1]
    .■ 과 같이 한 쪽 트랜지스터의 전류를 조절해서 부하 쪽 전류를 제어할 수 있다.4. ... BJT(Bipolar Junction Transistor: 양극 접합 트랜지스터): 신호를 증폭 또는 확대할 수 있는 2개의 p-n접합부로 구성 된 3단자 반도체 소자이다.1) Base ... , Emitter, Colloector의 3단자 구성이며 Base에 흐르는 전류를 제어해서 Collector와 Emitter 사이의 전류를 조절한다.2) PNP트랜지스터와 NPN트랜지스터의
    리포트 | 5페이지 | 1,000원 | 등록일 2022.02.20 | 수정일 2022.03.08
  • 행정고시(5급공채) 전산직 NW(네트워크) 합격자 서브노트
    to Zero)- 기본신호는 안쓰고, 고신호/저신호만 씀- 1: 고신호, 0: 저신호- 목적: 가장 기본적인 방식- 단점: 기준값 혼돈(baseline wander), 클럭 복구( ... W: 1 + 1 ≤ 21- GBN: (2m-1) + 1 ≤ 2m- SR: (2m-1) + (2m-1) ≤ 2m☞ 항상 3가지 상황을 생각해보자① 프레임 성공, ACK 성공② 프레임 ... (F250)① 점-대-점 링크② 브로드캐스트 링크o 데이터링크층의 두 하위 계층(F250)① DLC (Data Link Control)- 프레임 짜기, 흐름 제어, 오류 제어② MAC
    시험자료 | 52페이지 | 20,000원 | 등록일 2023.03.26 | 수정일 2023.06.07
  • 연산 증폭기 기본 실험
    {V _{out}} over {V _{in1} -V _{in2}} (s)= {A _{O}} over {1+ {s} over {w _{1}}} (17.1)연산 증폭기를 이용해서 [그림 ... _{1}보다 낮은 주파수에서는 이득이 일정하지만,w _{1}보다 높은 주파수에서는 이득이 감소한다.w=w _{1}일 때, 이득은 저주파 이득에서 3dB 감소한다. ... 이러한 조건에서는 비선형 특성으로 인해 열린 루프 응땁과 같은 소신호 특성만으로 속도를 제어하는 것이 어려워진다.연산 증폭기를 이용한 비반전 증폭기에서 입력의 크기가 작을 때, 즉
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 서울신용보증재단 합격자소서
    무인택배함의 구동원리는 스마트폰과 WIFI 쉴드가 부착된 아두이노 간 무선인터넷 신호 전송을 통해 아두이노와 연결된 도어락을 제어함으로써 동작하는 구조였습니다.저는 프로젝트의 조장으로써 ... 평소 저는 S/W 개발 프로젝트를 시작하기 전 철저한 사전조사와 개발계획서 및 프로젝트의 스토리보드를 미리 제작해보고 S/W 개발을 시작하는 습관을 지니고 있었습니다.하지만 팀원은 ... 그래서 이러한 S/W 개발 스타일의 차이 때문에 초반에는 의사소통이 많이 불편했으며, 프로젝트 초기에 협업이 원활하게 진행되지 않아서 다른 팀에 비해 시작이 매우 뒤처졌으며 충돌이
    자기소개서 | 5페이지 | 20,000원 | 등록일 2022.06.11
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 12차 예비보고서
    숫자 표시 및 최대 숫자 제어2자리 숫자 표시를 위해 첫번째 74HC192 chip의Carry 신호를 출력하는 CO단자를 두번째 74HC192 chip의 UP(CPU) 단자에 인가하여 ... 74HC08OR gate 74HC327-SegmentBCD Decoder 74LS47BCD 카운터 74HC192Pcounter 74HC90가변저항 1MΩ가변저항 10kΩ저항 330Ω, 1/2W, ... Decoder와 7-segment의 사이에 330 Ω 저항을 삽입하여 7-segment의 각 세그먼트 허용전류를 초과하지 않도록 하였다.※ Stopwatch의 정상 동작을 위해서는
    리포트 | 6페이지 | 1,000원 | 등록일 2024.02.17
  • [마이크로컨트롤러]7th_8비트타이머카운터
    → 입력 캡쳐 (input capture) 기능 을 제공 : 외부 펄스가 발생한 순간 , 하드웨어적으로 계수되는 카운터 값 저장 PWM(Pulse Width Modulation) 신호 ... → OC0 (High), TCNT0 (0xff→0x00) → OC0 ( Low) 10 TCCR2(Timer/Counter 2 Control Register) 타이머 / 카운트 2 제어 ... 개수만을 카운트하게 됨 타이머 / 카운터의 동작 [ 참고 ] 주파수와 주기와의 관계 - 주파수와 주기는 역수의 관계를 가짐 → f=1/T [ 예 ] 시스템 클럭 1MHz → 1 μ s
    리포트 | 37페이지 | 1,500원 | 등록일 2022.10.10
  • [A+레포트] 나노 입자 양자특성 실험 Quantum Properties of Nanoparticles
    micelle)이라고 불리는 방법으로 나노 반응기를 형성한 후 나노 입자의 크기를 제어하는 방법을 이용한다. ... 제조한다.6 W=10 (W=[H2O/[Surfactant]) Cd(NO3)2와 Na2S 수용액을 각각 0.180mL 씩 취하여 같은 방법으로 제조한다.samplePyAg1M NaClH2O총부피 ... (후드에서 제조)4 W=2 (W=[H2O/[Surfactant]) Cd(NO3)2와 Na2S 수용액을 각각 0.036mL씩 마이크로 피펫으로 취하 여 10mL의 0.1M AOT/옥탄에
    리포트 | 4페이지 | 3,000원 | 등록일 2021.11.16 | 수정일 2021.11.18
  • 기초전자회로실험1 11주차_최대전력전달과 모터 제어 예렙
    디멀티플렉서 (Demultiplexer ) 디멀티플렉서는 하나의 입력 을 통해 들어오는 신호를 선택 신호제어에 따라 복수개의 출력 중 하나로 내보내는 회로이다 . (  멀티플렉서 ... R L I L (μA) V L (V) P L (μW) Pspice 측정 Pspice 측정 Pspice 측정 5.1k 331.1 1.689 559.23 8.2k 274.7 2.253 ... Enable 단자 IC 전체 동작을 제어하는 입력으로 High 또는 Low 를 인가한다 . 이 단자에 해당 값을 인가하여야 IC 가 동작한다 .
    리포트 | 5페이지 | 1,000원 | 등록일 2020.10.07 | 수정일 2020.10.12
  • 마이크로컨트롤러 시스템 개발 과정에 대해 설명하시오
    서론마이크로컨트롤러는 아주 작은 제어기로 마이크로프로세서의 연산 처리 기능에서 제어 기능이 추가된 것이다. ... 다양한 종류가 있지만 끝이 뾰족하고 가늘며 소비전력이 20~30W 정도 되는 것을 선택하고 인두기 스탠드는 인두기를 고정하기 위하여 사용된다. ... 외부 디지털 전압에 관한 입출력 기능, EEPROM, SRAM, 플래시 메모리 등 메모리 기능에도 포함되고 타이머 기능, PWM의 펄스 생성 기능, 입력 신호의 캡처 기능, 아날로그
    리포트 | 4페이지 | 2,000원 | 등록일 2023.09.05
  • 이동통신, 네트워크 용어집
    변조 방식 관여(랜카드와 랜카드간의 디지털신호를 주고 받음(bit stream))해당통신장비: repeater (Lan카드에 구현)2. ... 통신 기술 용어시분할(Time division), CDMA (code division multiple access), W-CDMA (wideband code division multiple ... 라우터는 흐름제어를 하며, 인터네트웍 내부에서 여러 서브네트웍을 구성TD(Document Type Definition) + 문서'의 두 부분으로 구성되며, DTD에서는 문서의 형식을
    리포트 | 49페이지 | 1,000원 | 등록일 2023.02.05
  • 임베디드 시스템 개요
    개념(1) 임베디드 시스템- 특정 목적 만을 위해 H/W와 S/W가 조합되어 동작하는 컴퓨팅 시스템. ... , 엔터테인먼트 등의 기능을 구현함(2) 임베디드 소프트웨어 범위- 하드웨어 인터페이스 소프트웨어: 펌웨어, 신호처리 소프트웨어- 임베디드 시스템 제어 소프트웨어: 임베디드 운영체제 ... 다른 시스템의 일부로써 내장되는 마이크로 프로세서 기반의 컴퓨팅 시스템(2) 임베디드 운영체제 (Embedded O/S)- 대부분이 시간제약성, 신뢰성이 주요 특징인 RTOS(Real-Time
    리포트 | 4페이지 | 1,500원 | 등록일 2021.08.05
  • 학점A+받는 영남이공대학 전자계열 마이크로컴퓨터 [SSP]
    나오고{bar{ACK}}신호가 ‘1’이 되면 데이터를 연속적으로통신이 가능합니다.A7A6A5A4A3A2A1R/W: High 시간일 때, 데이터가 샘플링 되고Low 시간일 때, 데이터가 ... 그래서 가까운거리를 제어합니다. ... Embedeed, 센서, sd카드 등등에 사용됩니다.SPI Mode에서 사용되는 pin을 설명하자면?
    리포트 | 12페이지 | 3,000원 | 등록일 2020.11.01
  • 인천대 정보통신학부 편입 면접 대비 정리본
    삭제, 수정DML(Data Manipulation Language) : 데이터 조작어, 데이터 삽입, 수정, 삭제, 보기DCL(Data Control Language) : 데이터 제어어 ... 줄여서 was라고도 부르며 서버단에서 필요한 기능을 수행하고 그 결과를 웹서버에서 전달한다자바 서블릿 : 웹페이지를 동적으로 생성하기 위한 서버측 프로그램을 말한다JSP : java sever ... 압축률 증가, 불필요한 중복 감소퓨리에 변환이란 (역변환까지) ***주기신호 뿐만 아니라 비주기신호까지 통합하여 파동을 해석가능하도록 돕는 것컴퓨터공학과와 정보통신공학과의 차이컴퓨터공학과는
    자기소개서 | 8페이지 | 5,000원 | 등록일 2022.07.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:00 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대