• 통큰쿠폰이벤트-통합
  • 통합검색(1,852)
  • 리포트(1,688)
  • 시험자료(100)
  • 자기소개서(47)
  • 방송통신대(6)
  • 논문(5)
  • 서식(5)
  • ppt테마(1)

"W/S 제어신호" 검색결과 141-160 / 1,852건

  • 충북대 기초회로실험 오실로스코프 및 함수발생기 사용법 예비
    } : 첨두간 전압첨두간 전압과 실효 전압 간에는 다음의 관계가 성립한다.V _{s} = {V _{PP}} over {2 sqrt {2}} =0.354V _{PP}신호의 주파수와 주기와는 ... 오프셋 전압이 0인 정현파를 수식으로 표현하면 다음과 같다.v(t)= {V _{PP}} over {2} cos(wt+ phi )phi : 위상w=2 pi f : 각 주파수V _{PP ... 음극선관의 전자총에서 방출된 전자의 흐름은 수평 및 수직 편향 코일에 인가되는 전압에 의해 제어되어 화면상에 정해진 위치에 부딪혀 전자의 운동 에너지가 광 에너지로 변환되어 화면에
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.14
  • 인천대 정보통신학부 편입 면접 대비 정리본
    삭제, 수정DML(Data Manipulation Language) : 데이터 조작어, 데이터 삽입, 수정, 삭제, 보기DCL(Data Control Language) : 데이터 제어어 ... 줄여서 was라고도 부르며 서버단에서 필요한 기능을 수행하고 그 결과를 웹서버에서 전달한다자바 서블릿 : 웹페이지를 동적으로 생성하기 위한 서버측 프로그램을 말한다JSP : java sever ... 압축률 증가, 불필요한 중복 감소퓨리에 변환이란 (역변환까지) ***주기신호 뿐만 아니라 비주기신호까지 통합하여 파동을 해석가능하도록 돕는 것컴퓨터공학과와 정보통신공학과의 차이컴퓨터공학과는
    자기소개서 | 8페이지 | 5,000원 | 등록일 2022.07.22
  • 부산대학교 기계공작법실습 보고서 CNC밀링
    XYZ축에 메모리 기능과 수치제어 기능을 넣어 금형 등의 가공의 자동화를 가능하게 한 것이 CNC밀링가공이다.CNC프로그램을 이용하여 공구와 테이블의 이동 변위를 제어하는 기계를 CNC밀링머신이라고 ... 스테퍼 모터가 한 고정자 권선에 적용되어 안정적으로 DC 신호를 유지할 경우, 회전자는 잔류 토크를 무시하고 고정자 필드를 일려로 세운다.스테퍼 모터의 작동방식은 4단계로 나눌 수 ... 시계방향으로90 DEG 회전하는 것을 반복하기 때문이다.2) 스핀들 모터는 시중에서 판매하고 있는 스핀들 모터의 투상도를 나타낸 것이다.스핀들 모터의 작동원리는 모터의 내부에는 N극과 S극으로
    리포트 | 7페이지 | 1,000원 | 등록일 2021.11.12
  • 충북대학교 전자공학부 전자회로실험II 예비보고서 피드백(오디오) 증폭기
    입력 및 출력 임피던스를 제어한다.적당한 귀환 구조를 사용함으로써 입력 및 출력 임피던스를 증가시키거나 감소시킨다.5. ... 귀환 증폭기의 입 같이 된다.A(s) = {A_M} over {1+ {s}over{w_H}}여기서A_M은 중간 대역 이득을 나타내고,w_H는 고역 쪽의 3dB 주파수를 나타낸다. ... 식에 대입하고, 약간의 수학적인 처리를 하면A_f (s) = {A_M /(1+A_M beta)}over{1+s/w_H (1+A_M beta)}을 얻는다.
    리포트 | 13페이지 | 2,000원 | 등록일 2020.09.30
  • 아주대 기계공학기초실험 "Labview 기초 학습활동"
    모든 입출력을 제어하는 프론트 패널과 프로그램이 구성되는 블록 다이어그램으로 쉽게 구현할 수 있다.LabVIEW는 외부 측정자료를 컴퓨터로 측정하고 저장하기 위해서 전문지식이 필요하지 ... /labview.html" https://www.ni.com/ko-kr/shop/labview.html[NI 가이드] DAQ, 데이터 수집이란? ... LabVIEW는 Hyperlink "https://ko.wikipedia.org/w/index.php?
    리포트 | 5페이지 | 2,000원 | 등록일 2021.02.03 | 수정일 2023.01.12
  • 전자회로실험 예비보고서 - BJT의 동작 대신호, 소신호 동작 ( A+ 퀄리티 보장 )
    1/2W3)저항 : 4.7k옴 1/2W, 100옴 2W4)반도체 : 2N6004 또는 2N39045)기타 : SPST 스위치4.실험 과정수식을 이용한 파라미터의 산출1. ... (small sigmal)를 주면, 이젠 이 증폭기를 이득이 일정한 이상적인 선형증폭기로 간주할 수 있게 되며, 출력도 입력신호와 모양이 같고 크기만 증폭된 것이 나오게 된다. ... 대신호 모델의 전압 제어 전류원에 얼리 효과를 포함하도록 변경되었고, 소신호 모델의 경우, 소신호 출력 저항r _{O}는 미세 출력 전압DELTA V _{CE}과 미세 출력 전류DELTA
    리포트 | 13페이지 | 1,000원 | 등록일 2020.12.03
  • 전기회로정리
    세기(Wb)`` mu `:`투자율교류전력유효전력(평균전력,`소비전력)#P=VI``cos theta =I ^{2} R(W)#````````````````````````````````` ... {m} = {pi V _{av}} over {2}3상 유효전력P(W)=3V _{P} ```I _{P} ```cos theta = sqrt } (VA)=VI= sqrt {P ^{2} ... ```````````````````````````````````````````````P```:`유효전력(W)#````````````````````````````````````````
    시험자료 | 18페이지 | 1,500원 | 등록일 2020.09.04
  • 모터제어/로보틱스 실험보고서
    SERVO 모터 (DC)SERVO 모터는 피드백 제어에 의한 자동 제어 기구로 기구의 운동 부분에 위치와 속도를 검출하는 센서가 부착되어 있어 센서의 신호를 지령값과 비교함으로써 위치 ... 구동원리스테이터에 통합된 자극 휠은 항상 로터의 1개의 N극(또는 S극)이 스테이터의 S극(또는 N극)과 서로 마주 보도록 극성이 제어된다. ... 이어서 스테이터 코일 W2에 흐르는 전류의 극성이 바뀌면, 이제 수평 자극쌍에서의 극서잉 바뀐다. 로터는 다음 이 (teeth)까지 회전한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2021.05.04
  • 센서공학!! 광센서 정리자료!! A+
    적외선 센서 (infrared ray sensror ) 적외선을 이용해 온도 , 압력 , 방사선의 세기 등의 물리량이나 화학량을 검지하여 신호처리가 가능한 전기량으로 변환하는 장치이다 ... 3x10⁸[m/s] T = 주기 λ( 람다 ) = T 시간만큼 진행된 파의 거리 C= 3x10⁸[m/s] λ( 람다 )= T xC= C ∕ f ( T =1 / f ) 광센서 개요광센서의 ... 포토 커플러포토 커플러 포토 아이솔레이터 광로가 패키지 내에 있으며 , 외부 노이즈가 많은 기계제어회로나 디지털 - 아날로그 인터페이스 회로 및 PLC 내의 하드웨어 I/O 결합장치
    리포트 | 42페이지 | 2,500원 | 등록일 2020.06.27 | 수정일 2020.12.18
  • A/D Converter 와 D/A Converter 디지털 회로실험 보고서
    -사용기기 및 부품실험 1) Breadboard, DC power supply(함수발생기, 오실로스코프,74LS191 1개, 저항 20KΩ 5개, 저항 10KΩ 3개, 저항 1KΩ ... 2개, 저항 6.8KΩ 1개, 저항 330Ω5개, 적색 LED 5개, LM741 (OP Amp) 1개, 가변저항, 가변저항 드라이버실험 2) 실험 1의 부품, 제너다이오드(5V, 1W) ... 형태로 처리, 저장 혹은 전송해야 하는 다양한 분야에서 유용하게 사용된다.실험 2에서는 계수형 A/D 변환기 회로를 구성하는데 이는 D/A 변환기와 제어로직, 비교기, 2진 카운터
    리포트 | 6페이지 | 2,500원 | 등록일 2024.05.17
  • 서울디지털재단 합격자소서
    W 개발 관련 세미나에 지속해서 참석하며 S/W 역량을 함양하고 있습니다. ... 무인택배함의 구동원리는 스마트폰과 와이파이 실드가 부착된 아두이노 간 무선인터넷 연결 및 신호 전송을 통해 아두이노와 연결된 도어락을 제어함으로써 동작이 되는 애플리케이션이었습니다.저는 ... 경험을 쌓았습니다.둘째, 학부 시절 열심히 공부한 끝에 학과를 수석으로 졸업할 수 있었습니다.셋째, 학부를 졸업할 당시 총 11개의 자격증을 취득했습니다.넷째, 한국정보통신기술협회의 S/
    자기소개서 | 3페이지 | 10,000원 | 등록일 2022.06.11
  • A+ 서평_스티븐 S. 호프먼의 파이브 포스를 읽고 나서
    저자 스티븐 S. ... 스티븐 S. 호프먼의 파이브 포스를 읽고 나서부제 : 인류의 미래를 바꿀 다섯 가지 핵심 기술지은이 : 스티븐 S. ... 지능을 증강시키고 생각을 제어하는 기술을 개발시키는 것이 목적이다.
    리포트 | 8페이지 | 8,900원 | 등록일 2023.11.11 | 수정일 2023.11.20
  • 이동통신공학 보고서 1.이동통신의 발전
    디지털 신호로 바뀜디지털 신호를 사용함으로 음성외의 데이터를 전송 가능신호처리가 훨씬 용이해짐특징저속 데이터 서비스 지원(문자 메시지 등)2G네트워크 서비스를 보완한2.5G, 2.75G가 ... 기술을 통해 이동통신 환경에서의 단점을 극복특징음성, 데이터, 영상 송수신 지원기술방식CDMA20001xRTT에서는2.5G기술로 EVDO에서는3G기술로 고려됨CDMA기반으로 PN sequence를 ... , 속도 제어, H-ARQ, AMC 등이 있음스케쥴링주파수 대역이 겹치지 않게 또한 그러면서도 최적의 할당을 위한 알고리즘을 사용함max C/I방식셀 내 가장 좋은 채널 상태를 갖는
    리포트 | 15페이지 | 2,000원 | 등록일 2021.09.23
  • 아주대학교 기계공학응용실험 A+ 자료 : 예비보고서 BLDC 모터 구동 및 DAQ
    가격이 비쌈4. 3상 전류 제어형 PWM인버터 구동3로터리 인코더(신호 제어기)1) 기능 : 엔진의 회전각도, 회전속도를측정하는 센서이다.2) 원리 : 축이 일정량의 각도를 회전할때마다 ... _{bw} d _{s} RIGHT ) r _{bw,axis} ^{2} 4) TotalJ _{m}J _{m} =J _{g} +J _{d} + sum _{} ^{} J _{bw}? ... 제어기 분석 능력2. 설계 flow에 대한 지식3. C언어 기본 지식4.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.10.12 | 수정일 2019.10.14
  • 방송통신기사_22~18년도_기출문제_키워드별 요약본_1,5과목 제외
    보기- 진행파형 안테나오답- 야기 안테나 - 쌍루프 안테나 - 다소자 링 안테나설명구분키워드No.연도과목 - 4M/W 안테나 회선782020 2차문제M/W (Microwave) 안테나 ... 보기- 기생발진설명# 기생발진 (Parasitic Oscillation): 발진기에서 주 진동 회로 이외의 회로 영향으로 목적 외의 주파수 진동도 발진 조건을 만족하여 발생함으로써 ... 보기- 40dB구분키워드No.연도과목 - 4M/W 안테나 회선 – 수신기전력782022 1차문제M/W (Microwave) 안테나 회선의 송신기 출력 (Pt)이 10dBm 이고, 송신안테나의
    시험자료 | 57페이지 | 7,000원 | 등록일 2023.04.18
  • USN를 활용한 비즈니스 모델
    5단계로 진화되며, RFID 기술의 개체 식별 단계에서 센싱(sensing) 기능이 추가되었으며, 태그/센서 간의 상호통신은 Ad-hoc 네트워크를 구축하고 기능이 적은 태그를 제어하는 ... S보이스 기능을 통해 음성으로 차량 내에서 내비게이션에 주소지 입력과 차량 제어도 가능하다그런가하면 벤츠도 스마트워치와의 연계도 빼놓지 않았는데, 벤츠의 네스트 랩과 미국의 스마트워치 ... 또한 단순 데이터를 인식 하는 8bit에서 음성 신호처리가 가능한 16~32bit의 고성능 MCU로 개발이 진행 중에 있다.센서부(Sensor)는 인간의 오감을 대신한 정량적인 환경현상의
    리포트 | 9페이지 | 3,000원 | 등록일 2021.02.01
  • 가스공사 화공직 18,19년도 상,하반기 필기기출 복원
    미분제어 맞는것은 ㄱㄴㄷ -ㄱㄷ30. 온수 40 50도시 증가 열효율 41.8% 1000w - 시간 ==> 100s31. ... 공기신호 전기신호: 전기신호가? 0 ... [2018년 상반기 한국가스공사 화공직 기출]1 pic 압력 지시 제어계2.반응열감소3자유에너지4전기신호전달방식5카르노기관6메탄폭발범위7off셋 리셋 제어 PI8가스비열비9체절운동11체크벨브
    시험자료 | 22페이지 | 7,000원 | 등록일 2021.06.14 | 수정일 2023.04.26
  • 컴퓨터구조와 데이터의 표현과 컴퓨터 연산에 대하여 설명하시오. 컴퓨터시스템의 구성요소, 컴퓨터 역사와 분류, 정보의 표현과 저장 데이터의 표현과 컴퓨터 연산 보수의 개념, 진수 연산 문자데이터 대하여 설명하시오.
    제어 버스는 컴퓨터 구성 요소 간의 동기화 및 제어 신호를 전달합니다.결론컴퓨터 구조를 이해하는 것은 소프트웨어 개발자, 하드웨어 엔지니어, 컴퓨터 과학자들에게 중요한 이유가 있습니다 ... 모건 카우프만.- 스탈링스, W. (2015). 컴퓨터 조직 및 아키텍처 (10판). 피어슨. ... S., & 오스틴, T. (2012). 구조화된 컴퓨터 구조 (6판). 프레티스 홀.- 패터슨, D. A., & 헤네시, J. L. (2017).
    리포트 | 4페이지 | 2,500원 | 등록일 2023.04.11
  • 일반물리학실험 반도체정류회로 결과레포트
    전원공급장치나 신호처리시 정류작용을 활용한 회로에 적용할 수 있다. ... title=%EB%8B%A4%EC%9D%B4%EC%98%A4%EB%93%9C&action=edit§ion=3" \o "부분 편집: 접합 후 공핍층 형성" 편집]위: p–n 접합 ... (예외: 제너 다이오드)접합 후 공핍층 형성[ Hyperlink "https://ko.wikipedia.org/w/index.php?
    리포트 | 9페이지 | 1,000원 | 등록일 2023.09.11 | 수정일 2023.09.13
  • 기계공학- 스마트 공장의 신축 또는 기존의 공장을 고도화한 사례에 대한 보고서
    등을 생산하는 이랜시스는 ERP와 연계한 SCM을 구축하여 간당 생산량을 증가하고 재고 파악 시간을 단축하였다.③ 자동차 미션과 에어컨 부품을 생산하는 대성아이앤지는 서버, 운영S/ ... W, 데이터베이스, 하드웨어를 통해 데이터 관리, 공정불량 원인을 파악하여 개선 방향을 도출하였다.④ 디스펜서 펌프를 공급하는 연우는 MES를 통하여 생산관련 기준정보 및 4M(Man ... Machine, Material, Method) 정보를 실시간 관리하여 생상정보 경영을 구축하였다.⑤ 자동차 도어의 무빙파트(자동차 도어래치, 도어 모듈) 부품을 생산하는 평화정공은 실시간 신호
    리포트 | 5페이지 | 5,000원 | 등록일 2024.07.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대