• 통큰쿠폰이벤트-통합
  • 통합검색(433)
  • 리포트(393)
  • 시험자료(17)
  • 논문(10)
  • ppt테마(6)
  • 자기소개서(5)
  • 이력서(2)

"map generation" 검색결과 121-140 / 433건

  • 경영정보시스템 EIS, DSS,KMS
    기업에서 다루고 있는 지식분야별로 체계를 정리한 Container Map, 키워드 중심으로 지식을 정리한 Keyword Map, 정보소스 제공처별로 정리한 Resource Map 등이 ... 주로 메뉴방식이나 그래픽처리 형식을 이용하여 사용자가 이해하기 쉽고 사용하기 쉬운 대화기능을 제공하기 때문에 대화생성 관리시스템(Dialogue Generation and Management ... 지식지도(Knowledge Map)는 기업의 각종 지식이 저장된 물리적인 형태나 장소와는 독립적으로 문제해결에 도움이 되는 체계로 지식흐름을 정리한 것입니다.
    리포트 | 5페이지 | 4,000원 | 등록일 2015.02.16
  • 심층영어, skills for success
    Countless millions of us collect our photos in albums and pass them on to future generations, not only ... [Unit4, Reading1: How to lie with maps 지도로 거짓말 하는 법]1. ... Not only is it easy to lie with maps, it's essential.
    시험자료 | 7페이지 | 1,500원 | 등록일 2014.04.23
  • [정책대안설계순서] 정책대안 설계의 절차
    이 과정의 분석에 이용되는 방법이 전향적 맵핑(forward mapping)의 방법이다. ... 즉 첫째 단계는 문제해결을 위한 아이디어를 창출(generation)하는 단계이고, 두 번째 단계는 창출된 아이디어들의 실행가능성을 검토하는 단계이며, 세 번째 단계는 아이디어의 수용가능성을 ... 후향적 맵핑(backward mapping)으로서의 정책문제 정의는 정부간여의 기회, 바람직하지 않은 사회적 조건에 대한 전략적 사고로 생각될 수 있다.즉 어떠한 행태들이 정부간여
    리포트 | 6페이지 | 1,500원 | 등록일 2015.05.30
  • 창의적공학설계 의자 설계
    Sub Function-Concept Mapping제 2-5장. Morphological Chart제 2-6장. ... 2Early Concept Generation에서 10개의 Concept를 나누었다.나눈 기준으로 Morphological Chart 의 항목인 1.1.1~4.2 을 이용하였다.①,②,③ ... 5그림 32부록: Concept 5 Inventor Sketch 6표 리스트(List of Table)표설명표 1Morphological Chart표 2Early Concept Generation
    리포트 | 31페이지 | 1,000원 | 등록일 2013.03.16
  • digital logic circuits term project
    Therefore, you need to design a frequency divider that generates a 1㎒ clock input of the synchronous ... 그래서 Y=1(UP), Y=0(DOWN)으로 나누어의 네 개로 나누어진Karnaugh Maps을 그려보았다.Y=1(UP)일 때의 Karnaugh Maps00011110000XX0010XX011XXXX100XX0map0001111000XXXX01XXXX11XXXX10XXXXmap00011110001XXX011XXX11XXXX101XXXmap0001111000XXX101XXX111XXXX10XXX1map00011110000XX101XXXX11XXXX100XX0map0001111000XXXX010XX111XXXX10XXXXmap00011110000XX0010XX111XXXX10XXXXmap0001111000XXXX01XXXX11XXXX100XX1mapY ... 다섯 개로 이루어진 Karnaugh Maps의 grouping이 쉽지 않다는 단점이 있었다.
    리포트 | 8페이지 | 2,000원 | 등록일 2012.08.31
  • [디지털시스템실험(Verilog)] Data Mapping Unit, Execution Combination Top 예비보고서
    Unit, Arithmetic Logical Unit, PC Calculation Unit, Branch Handler, Address Generator를 합친 모듈이다.실험에 대비하여 ... Unit, Execution Combination Top실험목표① PICO Processor의 Data Mapping Unit을 구현한다.② Data Mapping Unit, Arithmetic ... Logical Unit, PC Calculation Unit, Branch Handler,Address Generator를 합쳐 Execution Combination Top을 구현한다.실험준비물ModelSim
    리포트 | 2페이지 | 1,000원 | 등록일 2011.10.05
  • 풍력 에너지
    유도발전기(induction generator)는 증속기를 통하여 증속된 회전토크로 발전기를 회전시켜 전력계통에 연결하는 장치이다. ... EU에서는 제5차 Framework Project의 일부로 5㎿ 풍력발전 시스템 개발 3개 과제, 블레이드 7개 과제, 자원조사 및 wind map 3개 과제, 풍력발전단지 4개 과제
    리포트 | 15페이지 | 2,000원 | 등록일 2017.12.31
  • [디지털시스템실험(Verilog)] Address Generator, PC Calculation Unit, Branch Handler 결과보고서
    Handler를 구현한다.실험결과① Address Generator의 시뮬레이션 결과address generator의 op값에 따른 결과값(설계된 모듈에서는 'gen')은 다음과 ... Karnaugh map은 위에 나타내었다.이제 이 Karnaugh map을 Boolean algebra formulation로 나타내면 다음과 같다.offset[31:3]의 경우 : ... 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 결과 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목PICO Processor - Address Generator
    리포트 | 4페이지 | 2,000원 | 등록일 2011.10.05
  • Skills for Success Reading & Writing 5 / Unit 4 해석 및 단어정리
    Countless millions of us collect our photos in albums and pass them on to future generations, not only ... < Skills for Success - Unit 4 >Reading 1 : How to Lie with Maps< Paragraph 1 >0. ... Not only is it easy to lie with maps, it's essential.=> 지도를 가지고 거짓말하는 것은 쉬울 뿐만 아니라, 본질적이다.2.
    리포트 | 13페이지 | 1,000원 | 등록일 2013.07.06
  • 영국과 한국의 CPTED(범죄예방환경설계) 적용 사례 비교 분석
    does not inspect diverse and comprehensive CPTED survey as U.K.As it is emphasized in terms of 2nd generation ... (Davies.L, 2004, p.58~59)[Picture 3] The map of Royds[Picture 4] Website of Royds Community Association ... [Picture 7] The map of Pangyo[Picture 8] The guide for Pangyo (written in Korean)Comparison between U.K
    리포트 | 8페이지 | 2,000원 | 등록일 2014.06.15
  • The Lean and Environment Toolkit 정리
    에너지, 물, 천연자원사용의 초과, 공기 물 땅에 인간의 건강이나 환경에 해를 끼치는 오염원과 물질폐기물, 유해원소를 가리킴0.3 가치 흐름 맵핑(VSM; Value Stream Mapping ... How can you reduce the overall amount of solid waste generated?? ... What types and quantities of solid waste are generated by the process??
    리포트 | 6페이지 | 2,000원 | 등록일 2014.04.16 | 수정일 2014.04.24
  • White Privilege
    To make it, they taught their next generation not to recognize their own privileges. ... McIntosh says that “White privilege is like an invisible weightless knapsack of special provisions, maps
    리포트 | 4페이지 | 3,000원 | 등록일 2015.05.01 | 수정일 2017.06.18
  • Arbitrarily-awarded Power
    To make it, they taught their next generation not to recognize their own privileges. ... McIntosh says that “White privilege is like an invisible weightless knapsack of special provisions, maps
    리포트 | 4페이지 | 3,000원 | 등록일 2015.05.01
  • 검색엔진 최적화
    , google- sitemap_gen 등을 이용해 쉽게 만들 수 있음2) 검색엔진 최적화의 기본 robots.txt 활용 방법 검색에 노출되지 않아야 할 부분을 Robost.txt ... 구글 , 네이버등 검색엔진들은 웹마스터도구를 통해 파일을 받고 있음 이미지 수집되고 있더라도 , 추가적인 정보를 로봇에 제공하여 더 잘 수집되도록 할 수 있음 파일은 Sitemap Generator
    리포트 | 18페이지 | 4,900원 | 등록일 2015.07.22
  • (in english) pioneer australian aerospace, presentation
    Navigation lights, hand driven generator, the windshield is made of fabric, acetate. ... the pacific and went to Oakland from Brisbane in 1930, and he went to DUBLIN.As we can see from the map
    리포트 | 1페이지 | 3,000원 | 등록일 2013.04.23
  • [영문]Tetra Pak France 의 Case 분석과 마케팅 전략 제안 레포트 입니다.
    powerful because at the end of the day it is they who can affect the revenue and profit that Tetra Pak can generate ... Two tools were used in this process, SWOT Analysis and Stakeholder Mapping.SWOT AnalysisStrengthsBiggest ... Therefore, to deal with them, stakeholder mapping suggests that the company should monitor them.Who to
    리포트 | 6페이지 | 2,500원 | 등록일 2014.05.04
  • [디지털시스템실험(Verilog)] Address Generator, PC Calculation Unit, Branch Handler 예비보고서
    base address의 값에 offset에서 지정된 값이 추가된다.OP가 4, 5, 6일 때 offset[31:3]이 1인 것은 음수를 보수로 표현했기 때문이다.Karnaugh map은 ... (아래는 Karnaugh map의 형태가 아니다)opflags01234567000111001101010101200100111시뮬레이션 결과는 다음과 같다.모든 결과가 위의 표를 만족하고 ... 없으므로, flags는 decimal 3이 될 수 없다.따라서, flags는 00, 01, 10의 binary 형태가 가능하다.각 flags의 경우에서, OP값의 Karnaugh map
    리포트 | 4페이지 | 1,500원 | 등록일 2011.10.05
  • Unit 4
    Countless millions of us collect our photos in albums and pass them on to future generations, not only ... Reading 1.Not only is it easy to lie with maps, it's essential. ... The map, therefore, must offer a selective, incomplete view of reality.
    시험자료 | 9페이지 | 1,500원 | 등록일 2013.06.13 | 수정일 2014.01.21
  • Pwe, Pbe, Pube 의 오율 비교- matlab을 이용
    여기서 알 수 있는 점은 coding은 오율을 줄이기 위하여 하는 것인데 이 code에서는 오히려 coding을 함으로써 오율이 커지므로 generator matrix G를 잘 못 ... altered the bit in X)X·=(M·G)·=M·(G·)=M·=M·(P+P)=M·0=0S=Y·=(X+E)·=X·+E·=E·이 때, M은 message bit을, G는 generating ... 따라서 error가 나더라도 적은 bit의 error가 발생하여 detection/correction을 통하여 원래의 codeword로 mapping될 가능성이 크다.
    리포트 | 10페이지 | 2,000원 | 등록일 2012.05.31
  • Text Comprehension Instruction(텍스트 이해 교수법)
    예) 지도, 망, 그래프, 차트, 프레임(틀),- 의미 조직자 (semantic maps, webs): 거미줄처럼 보이는 도해 조직자다. ... Generation question(문제 만들기)문제를 만들며, 학생들은 질문에 대답할 수 있는지, 그들이 읽은 것을 이해하고 있는지 알 수 있다. ... Generation question(문제 만들기)?Recognizing story structure(이야기 구조 인식하기)?Summarizing(요약하기)?
    리포트 | 5페이지 | 1,000원 | 등록일 2014.07.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:57 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대