• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(967)
  • 리포트(871)
  • 자기소개서(45)
  • 시험자료(22)
  • 방송통신대(18)
  • 논문(7)
  • 서식(2)
  • 표지/속지(1)
  • ppt테마(1)

"자판기 설계" 검색결과 1-20 / 967건

  • 한기대 디지틀시스템 설계 및 실습 과제4 동전자판기(보고서 및 소스 포함)
    목적 : 우리 차 동전자판기를 ASM (혹은 Data Path + FSM)을 사용하여 설계하고 실습장비에 그 동작을 확인한다. 2. 동작 : 1).
    시험자료 | 2페이지 | 6,000원 | 등록일 2020.11.04 | 수정일 2020.11.07
  • 자판기의 인간공학적 설계
    한국산업경영시스템학회 권영국
    논문 | 9페이지 | 4,000원 | 등록일 2017.01.04 | 수정일 2023.04.05
  • 자판기 베릴로그코드 테스트벤치(testbench) 포함 / Vending machine / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    )이 포함되어 있습니다.1500원 콜라 자판기의 경우 모델심 시뮬레이션에 필요한 testbench 코드 파일도 첨부되어 있습니다. ... 가격이 1000원 및 1500원인 콜라를 판매하는 자판기를 각각 Verilog code로 구현하였습니다.코드파일(.v)과 머신에 대한 설명 및 시뮬레이션 결과에 대한 파일(.docx
    리포트 | 3페이지 | 2,500원 | 등록일 2020.10.17 | 수정일 2020.10.22
  • 설계 프로그래밍 (자판기)
    c++ 설계 자판기 ui 추가된 것실행 프로그램소스간단한 설명
    리포트 | 5페이지 | 1,000원 | 등록일 2019.03.29 | 수정일 2019.10.07
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 7주차 예비+결과(코드포함) 자판기 Sequential_Logic_Design_II FSM and Clocked_Counter
    I.INTRODUCTION본 보고서는 예비보고서에서 조사한 State machine 정보와 여러 예외사항을 반영하여 작성한 State diagram을 기반으로 설계한 Vending ... machine의 code 및 시뮬레이션 결과를 확인 및 검증에 관한 보고서이다.II.Vending machine 설계 code 및 Simulation 결과A.CodeA Part에서는
    리포트 | 3페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 자판기 설계
    본론(1) 설계내용(2) 여기표 작성(3) 논리식 작성(4) 회로도(5) Bread Board 구성(6) 자판기 제작3. 결론자판기 설계1. ... 결론이번 설계는 50원과 100원을 투입하여 150원이 되면 커피가 출력 되는 자판기 회로를 구현하는 것이다. ... 참고로 설계하고자 하는 자판기는 150원 이상이 입력되면 그 순간 커피가 자동으로 출력되도록 규격을 정하였으므로 150원 이상이 들어온 상태를 기억할 필요가 없다.?
    리포트 | 11페이지 | 1,500원 | 등록일 2012.03.07
  • verilog이용한 자판기설계 코드
    verilog를 이용하여 구조적 vending machine 설계입력부 중간부 출력부로 구성되어 있으며 각 구조마다 test bench로 module별 test하나의 완전한 구조로
    리포트 | 2,000원 | 등록일 2015.11.26 | 수정일 2015.12.04
  • 자판기 설계
    설계 목적 / 용도논리회로 내용 및 실습 내용을 기반으로 생활 속에서 활용될 수 있는 제품(자판기 회로)을 설계 ․ 제작한다.2.
    리포트 | 12페이지 | 3,000원 | 등록일 2011.03.29
  • [논리회로설계실험]커피자판기설계
    커피자판기(FSM 설계)1. 실험 내용1) 다음 상태도와 동작에 따라 커피자판기설계하시오.① 상태도② 동작 설명? 전원이 인가되고 Recet이 되면 "00"상태로 존재?
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 자판기설계원리 모듈별 코드분석.
    컴퓨터 구조 설계 최종 발표목 차 설계목표와 동작원리 주요 모듈 - 입력부 - 계산부 - 출력부 테스트설계목표와 동작원리설계 목표 및 규격 목표 : 자판기 설계 물품의 개수를 입력할 ... Booth 곱셈기 알고리즘을 이용하여 구현 자판기 설계에서 12bit 의 물건 가격과 4bit 의 물건 수량을 입력 받아 두 데이터의 곱인 총 물건 금액을 12bit 로 출력하는데 ... 자판기 설계에서 반환해야 할 금액을 12bit 로 입력 받아 반환 시에 필요한 1000, 500, 100, 50 원의 개수를 센다 .
    리포트 | 37페이지 | 1,500원 | 등록일 2015.11.26
  • 논리회로 자판기설계
    설계목표- IC소자를 이용한 자판기 시스템을 설계한다.- 설계 작품은 다음과 같은 기능을 갖는다.설 계 내 용① 돈 투입 버튼 부의 100원, 500원 버튼을 통하여 원하는 금액을 ... 회로도3.설계결과4. ... 목 차1설 계 목 표2회 로 도3설계결과4TROUBLE SHOOTING1.
    리포트 | 5페이지 | 1,500원 | 등록일 2012.08.29
  • 자판기설계(가산기 이용)
    가산기를 이용한 자판기 설계입니다.한번의 버튼입력(푸시버튼)으로가산기의 덧셈,뺄셈 제어와D플립플롭의 클럭(CLK)제어까지 모두 되는 설계작품입니다.단계별로 회로도 설명이 되어있고 회로도를 ... 아이디어 구상과정연산부감가산기회로(Adder-Subtrator)S=0 → 덧셈회로S=1 → 뺄셈회로
    리포트 | 17페이지 | 2,000원 | 등록일 2012.01.07
  • FINAL Project booth multiplier 와 carry Look ahead adder를 이용한 자판기 설계
    FINAL Projectbooth multiplier 와 carry Look ahead adder를 이용한 자판기 설계1. ... 프로젝트 소개(1) 프로젝트 목표수업시간을 통해 배운 카운터, 가산기, 감산기, Multiplier,와 Sequential 로직을 통합적으로 이용하여 verilog 설계를 해보는데 ... 이에 같은 기능이지만 다른 구조를 갖는 adder, multiplier를 구현 후 비교해보고 나아가 이들을 이용한 실용적인 작품을 만들고자 자판기를 선택하게 되었습니다.(3) 구현내용4종류의
    리포트 | 22페이지 | 5,000원 | 등록일 2018.04.04
  • 자판기 설계 코딩
    자판기 설계4가지의 메뉴-coffee, luxury coffee, uja, peanutcoffee 100원, luxury coffee 200원, uja 300원, peanut 400원현재
    리포트 | 4페이지 | 2,500원 | 등록일 2010.03.14
  • FSM의 개념을 이용한 베릴로그 자판기 설계
    1. INPUTCLK : clocknRESET : 상태 s0 으로 초기화[1:0]Coin : 동전 / parameter [1:0] Coin0 = 2'b00 : 0원 Co..
    리포트 | 20페이지 | 3,000원 | 등록일 2013.09.05
  • 간단한 자판기 설계
    1. Purpose of the lab이 실험의 목표는 순차 로직의 원리와 storage element (flip-flop)을 이해하고 이를 바탕으로 순차 회로를 디자인 하는 것이다. 순차회로의 output은 input의 현재 sequence 뿐만 아니라 과거 sequ..
    리포트 | 16페이지 | 7,000원 | 등록일 2009.12.23
  • [VHDL][논리회로] 자판기 설계(3가지,반환)
    [VHDL][논리회로] 자판기 설계(3가지,반환)A+받은 설계 입니다실습 최종 과제 였고 최선을 다해서 만들고교수님한테도 칭찬 받은 설계입니다.코인 반환과 잘못된 코인 입력시 반환기능도
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2018.05.17
  • Verilog HDL (자판기 설계)
    14주차 논리회로설계자판기설계하시오.1. ... 설계배경0~10까지 총 11개의 STATE를 100원 단위와 같이 설정하고, 각각의 상황에 맞게 NEXT STATE를 결정하였다.
    리포트 | 1페이지 | 3,000원 | 등록일 2010.06.07
  • 자판기설계
    자판기설계서론학교주변이나 우리가 생활하는 주변에서 찾아볼 수 있는 자판기를 만드는 회로를winbreadboard로 구현하여 동전을 투입하여 결과 내용물과 거스름돈을 얻는 것이 목적이라 ... 현 시대에는 자판기에 라면과 아이스크림 심지어 생필품까지 밴딩머신이 편의점의 이점을 살려 제작된 것들을 볼 수 있다. 또 한 신용카드로 지불하는 자판기도 등장하고 있다. ... 이런 첨단의 자판기 앞에서 이 실습은 작게만 볼 수도 있지만 가장 기초원리를 이해하게 된 실습이었던 것 같다.현재 자판기는 돈을 입력후 하나하나 버튼을 눌러야 가능하다.
    리포트 | 8페이지 | 2,500원 | 등록일 2007.06.07
  • 베릴로그 자판기설계, Vendingmachine Verilog (코드,타이밍밴치,ppt)
    설계목표 Vending Machine설계사양 지정 자판기에 투입되는 동전은 500 원 , 1000 원 2 가지로 가능합니다 . 최대 합산할 수 있는 돈은 2000 원입니다 . ... 설계내용 Vending Machine입력 부분 자판기 회로 초기상태 (S0) 선택 ( 1BIT , reset) : X3= 안 누르면 0, 누르면 1. ... 자판기 판매 물품 생수 - 500 원 , 에너지드링크 - 1000 원 , 과일주스 -1500 음료수를 선택하면 선택한 음료수가 나오고 그 가격만큼 차감 되고 , 동전 반환 버튼을 눌렀을
    리포트 | 24페이지 | 1,500원 | 등록일 2014.06.13
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:35 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기