• 통큰쿠폰이벤트-통합
  • 통합검색(37,865)
  • 리포트(33,263)
  • 시험자료(1,787)
  • 방송통신대(1,479)
  • 자기소개서(1,048)
  • 논문(155)
  • 서식(105)
  • ppt테마(18)
  • 이력서(7)
  • 노하우(3)

"기본설계단계" 검색결과 141-160 / 37,865건

  • 사회복지조사연구의 과학적 연구수행과정은 어떤 절차에 의해 이루어지는가를 서술하시오.
    자료수집 전까지의 단계설계과정이라고 한다면, 이 자료수집 이후의 단계설계에 따라 조사를 실제적으로 집행하는 단계라고 볼 수 있다. ... 다른 절차를 거치기도 하지만 모든 조사는 기본적으로 동일한 단계를 거치게 된다.이에 본고에서는 사회복지조사연구의 과학적 연구수행과정이 어떤 절차에 의해 이루어지는지에 대해 서술해보고자 ... 하지만 무엇보다 이 단계에서는 연구자의 창의적인 노력이 중요하게 여겨진다.3) 조사 설계조사설계란 “연구자가 연구문제 혹은 가설에 대한 해답을 얻는 데 필요한 경험적 증거를 수지바기
    리포트 | 3페이지 | 1,500원 | 등록일 2022.03.07 | 수정일 2022.09.11
  • 사회복지조사 과정 중 조사도구 개발 시 개념화와 조작화를 사례를 활용하여 설명하시오
    가설의 설정연구문제를 선정할 때 연구모형을 구체화하여 연구의 기본모형을 설정하고 구체적인 가설을 설정하였으며, 이를 위한 단계는 설문조사문제를 명확히 실행할 수 있는 명제를 설정하고 ... 작성하였다.본 보고서에는 연구 방법 및 프로세스, 수집된 데이터의 특성 및 기본 통계와 같은 분석 데이터가 포함되어 있다.3. ... 연구설계과정에서 어떤 기법을 사용하였는가?
    리포트 | 4페이지 | 7,000원 | 등록일 2023.02.12
  • 조사의 6단계에 대해 설명하고, 조사의 반복성에 대해 기술하시오.
    그러나 사회복지는 인간의 행동과 문제에 대한 측면을 다루기 때문에 많은 추상적이고 가치 중립적인 개념이 관련되어 있다.이러한 맥락에서 사회복지 연구의 여섯 가지 기본 단계와 연구의 ... 조사의 6단계1) 연구문제 선정2) 가설의 구성 및 조작화3) 조사설계4) 자료수집5) 자료분석 및 해석6) 연구보고서 작성2. 조사의 반복성Ⅲ. 결론참고문헌Ⅰ. ... 변수와 개념을 확인하고 정의하며 경험적으로 측정하는 것은 사회복지 연구에서 필수적인 과정으로 조작화는 때로 임의적인 정의를 포함하여 조작적 정의라고도 한다.3) 조사설계조사설계는 연구
    리포트 | 3페이지 | 3,000원 | 등록일 2024.04.22
  • 전기설비설계 순서
    결정되지 않는 것은 물론 중요 기기의 용량등 이미 결정되어 있는 것에 대해서도 다시 비교 항목을 설정해 검토한다.(2) 실시 설계 단계에서는 기본 설계 개략 공사비를 기초로 예산 ... 관련된 여러 부문(구조, 기계, 토목, 조경 등)과 함께 전기 기본 시스템이검토된 계획안을 발주처에 제안하여 건축주에게 승인받는 단계이다.3. ... 절차는 대부분 기본 구상/계획, 기본 설계, 실시 설계순서로 한다.2.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.06.01
  • 사회복지조사론 ) 조사설계의 종류에 대해 설명하시오.
    이때는 기본적으로 사회적 현실 또는 사상을 연구주제로 변환할 수 있어야 하는 것이 중요하다. ... 조사의 단계는 문제형성-가설형성-조사설계-자료수집 및 처리-자료분석 및 해석-보고서 작성 순으로 이야기 할 수 있다. ... 조사 설계 단계는 조사과정에서 가장 중요한 사항으로, 조사연구를 효과적, 효율적, 객관적으로 수행하기 위한 논리적인 전략이며 조사의 전반적인 과정을 계획하고 통제하기 위한 전략이다.
    리포트 | 5페이지 | 5,000원 | 등록일 2023.12.14
  • BIM Viewer 소프트웨어 분석 S-BIM Viewer
    위한 기본데이터로 활용한다. ... 해석 및 설계단계해석 및 설계 단계에서는 구조해석 후에 나온 결과를 바탕으로 하여 설계모델을 생성한다. ... 단계(A&D-Phase)설계 모델하중조합, 해석결과,절점/요소·해석결과, 하중조합·해석결과후처리 단계(Post-Phase)물리적, 해석모델, 설계모델1.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.05.09 | 수정일 2022.05.31
  • 첫째, 제품설계 과정에서 최종설계단계의 고려사항인 '기능설계, 형태설계, 생산설계'의 개념과
    모듈화 설계의 경우 여러 다른 제품에 조립될 수 있는 일련의 기본적 부품이나 모듈을 개발하는 것으로 높은 제품의 다양성과 낮은 부품의 다양성을 포함하는 개념이다.본고에서는 '기능설계 ... 최종설계단계는 그래서 최종설계단계의 '기능설계, 형태설계, 생산설계'를 거쳐 예비설계를 개선하고 문서화해 세부적으로 완성하게 된다.2. ... 과목명 : 생산관리주제 : 첫째, 제품설계 과정에서 최종설계단계의 고려사항인 '기능설계, 형태설계, 생산설계'의 개념과 이들 간의 관계 및 이와 관련한 사례를 들어 비교 설명하고,
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.08
  • 4. 프로그램 개발을 위한 이론적 정의
    방향 설정 (+) 프로그램 개발 전 단계에 적용할 기본 지침 확정 5 프로그램 개발 과정에 적용할 모델 의 선정 6 잠재적 학습자 들의 특성 분석 ( 예 : 참가자 연령 , 성별 ... 각 단계마다 주어진 과업이 명확하고 단순함 . 1 단계는 요구분석 2 단계는 요구분석을 프로그램 목표로 전환 3 단계는 목표 달성을 위한 프로그램의 내용 결정 2 비선형적 접근법 같은 ... 기본움직임기술 중심 유아 신체활동 프로그램 개발 및 효과 검증 . 서울대학교 대학원 박사 논문 한국 CS 전략 연구소 아카데미 .
    리포트 | 15페이지 | 2,000원 | 등록일 2024.07.29
  • 4 프로그램 개발을 위한 이론적 정의
    방향 설정 (+) 프로그램 개발 전 단계에 적용할 기본 지침 확정 5 프로그램 개발 과정에 적용할 모델 의 선정 6 잠재적 학습자 들의 특성 분석 ( 예 : 참가자 연령 , 성별 ... 프로그램 설계자는 학습자 와 성인교육자임 . 교육프로그램 개발 모형 상호작용 이론 실천 이론 전통적 이론 프로그램 개발 절차 , 단계보다는 프로그램 개발 당시의 상황에 주목함 . ... 각 단계마다 주어진 과업이 명확하고 단순함 . 1 단계는 요구분석 2 단계는 요구분석을 프로그램 목표로 전환 3 단계는 목표 달성을 위한 프로그램의 내용 결정 2 비선형적 접근법 같은
    리포트 | 15페이지 | 2,000원 | 등록일 2024.07.29
  • 질적연구
    설계 단계질적연구에서 춘비와 설계 단계는 매우 중요하다. ... 질적연구의 과정1) 준비·설계 단계2) 자료수집 단계3) 자료분석 단계4) 보고서 작성 단계3. 질적연구의 쟁점* 참고문헌질적연구1. ... 설계 단계에서는 무엇보다도 일정계획, 연구방법 및 진행계획, 자료수집계획, 자료분석방법 설계가 구체화되어야 한다.
    리포트 | 6페이지 | 4,000원 | 등록일 2023.07.05
  • 사회복지조사론_단일사례조사 설계에서 사례를 들어 AB유형을 설명하시오
    단일사례 연구설계는 과학적 방법을 토대로, 개인, 가족, 집단이 직면하고 있는 문제를 해결하기 위해 적용한 개입이 어느 정도 효과가 있는지 검증하는 것이다.가장 기본적인 단일사례조사 ... 설계의 유형인 AB는 기초선 단계 하나와 개입단계 하나로 구성되어 있다. ... AB설계는 기초선(A) 단계와 개입(B)단계라는 두 단계로 나눠지고 먼저 A단계에서는 기초선 자료를 수집하여 기록하게 된다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.08.19
  • 평생교육 프로그램 개발 과정을 제시하고 설명하세요
    프로그램의 개발과정은 경우에 따라 기획-운영-평가의 3단계, 기획-설계-실행-평가의 4단계, 기획-설계-마케팅-실행-평가의 5단계로 구분할 수 있지만, 프로그램 현장에서 일어나는 개발 ... 다섯째, 프로그램 설계는 본질적으로 인간이 어떻게 학습하는가에 관한 지식에 그 기초를 두어야 한다.6) 6단계: 예산 및 홍보프로그램 개발은 인적 자원과 물적 자원에 대한 설계관리를 ... 즉 평생교육 프로그램 기획은 개발하고자 하는 프로그램의 그림을 그려서 결정하는 작업으로 구체적인 프로그램 형태를 결정하기 위한 기초적인 창조과정임과 동시에 프로그램 개발의 기본 방향을
    리포트 | 9페이지 | 2,000원 | 등록일 2023.05.08
  • 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    유도, 플립플롭 출력 함수와 회로 입력 함수의 유도, 논리 회로 구현이라는 일곱 단계에 따라서 이루어진다.비동기식 순서논리회로는 설계 명세 기술, 원시 흐름표 작성, 필요하다면 원시 ... IC에서는 0~9 숫자를 표시하고 reset의 실행이 이루어지면 7492 IC에 펄스를 인가해 카운터를 실행하도록 함으로써 9초에서 10초와 같이 숫자에서 다음 단위 숫자가 한 단계 ... 흐름표 상태 축소, 전이 표와 여기 표, 출력 맵 유도, 여기식과 출력 식에 따라서 논리 회로 구현이라는 다섯 단계에 걸쳐서 이루어지게 된다.2) 카운터를 응용한 디지털시계 회로도디지털시계를
    리포트 | 5페이지 | 2,000원 | 등록일 2024.09.09
  • 사회복지조사는 일반적으로 7단계의 과정을 활용합니다. 사회복지조사의 7단계 중 마지막 단계인 조사보고서 작성을 제외한 6단계에 대해 각 단계별로 포함되어야 할 주요 개념들을 활용해서 설명하시오. 문제제기
    또한 이를 측정하는 데 적합한 조치를 적용하여 계산할 수 있는 기본적 배경을 제공한다.자료 수집자료수집 단계에서는 누가, 몇 명의 피험자가, 어떻게 설문지를 배포할지 설계하거나 면접을 ... 사회복지조사의 7단계 중 마지막 단계인 조사보고서 작성을 제외한 6단계에 대해 각 단계별로 포함되어야 할 주요 개념들을 활용해서 설명하시오.문제제기문제제기 분야에서는 '이번 연구를 ... 논문이나 연구에서 설명하고 싶은 개념 정의를 설명하면서 자신이 알고 있는 개념을 내가 설명하고 싶은 개념과 일치시켜 독자들이 연구의 주제를 명확하게 이해할 수 있도록 도와준다.조사 설계
    리포트 | 2페이지 | 3,000원 | 등록일 2024.07.15
  • 경희대학교 전자공학과 대학원 기출문제유형분석 자기소개서견본 면접기출문제 구두면접예상문제 논술주제 연구계획서견본 자소서입력항목분석 어학능력검증문제
    □ 전자 회로 설계에서 사용되는 트랜지스터의 기본 동작 원리를 설명하세요.□ 운영체제에서 멀티태스킹과 멀티쓰레딩의 차이는 무엇인가요? ... □ 프로그래밍에서의 동기화와 비동기화에 대해 설명해주세요.□ 데이터베이스에서 정규화의 목적과 각 정규화 단계에 대해 설명하세요.□ 디지털 신호 처리에서 필터링의 기본 원리는 무엇인가요 ... □ 인터럽트(Interrupt)와 폴링(Polling)의 차이에 대해 설명하세요.□ 프로그래밍에서의 스택(Stack)과 큐(Queue)의 차이를 설명해주세요.□ 컴퓨터 비전의 기본
    자기소개서 | 286페이지 | 9,900원 | 등록일 2024.01.24
  • 건축시공, VE(Value Engineering)의 모든 것
    VE 정의 계획, 기본설계 및 실시설계 단계에서 실시하는 것으로 발주자가 해당 프로젝트의 계획이나 설계에 종사하지 않았던 자로 구성한 VE 팀을 편성하고, 프로젝트의 생애주기 비용의 ... 이 단계에서의 VE 를 총칭하여 설계 VE 로 부르며, 설계 VE 에서 제안된 대체안을 VE 제안(VE Proposal ; VEP)이라고 부른다. ... 절감을 도모하기 위하여 원래의 계획이나 설계를 검토하고 대체안을 작성하는 것이다.
    리포트 | 13페이지 | 3,500원 | 등록일 2023.03.09
  • 정보처리산업기사요약(3.시스템분석설계)
    조작을 간결화, 자동화하여 사용자의 수동 조작을 적게 한다.* 프로세스 설계 순서기본 사항 확인 → 처리 방식 설계 → 작업 설계* 기본 사항 확인? ... 시스템분석설계◎ 입력 설계: 입력설계는 입력 데이터를 어떤 매체를 이용하여 어떤 형태로 입력할 것인가를 설계하는 단계* 입력 설계 순서입력정보의 발생 → 입력정보의 수집 → 입력정보의 ... 입력 정보의 오류 검사 방법에 대해 결정◎ 출력 설계: 출력 설계는 컴퓨터가 처리한 결과를 어떤 매체를 이용하여 어떠한 형식으로 출력할 것인가를 설계하는 단계이다.* 출력 설계 순서출력
    시험자료 | 6페이지 | 3,500원 | 등록일 2021.05.26
  • 단일사례설계의 개념과 특성, 기본구조를 설명하고, 단일사례설계로 연구할 수 있는 사례를 들어 연구유형을 선택하고 연구의 개략적인 설계를 기획하시오
    단일사례설계의 개념과 특성, 기본구조를 설명하고, 단일사례설계로 연구할 수 있는 사례를 들어 연구유형을 선택하고 연구의 개략적인 설계를 기획하시오.목차단일사례설계의 개념과 특성, 기본구조를 ... 후의 변화 비교단일사례설계는 개입 전과 개입 중, 또는 개입 후를 비교하기 위하여 각 단계별 구분을 분명히 하고 있다. 개입 전의 단계는 "기초선 단계"로 불린다. ... 다중기초선설계* 참고문헌단일사례설계의 개념과 특성, 기본구조를 설명하고, 단일사례설계로 연구할 수 있는 사례를 들어 연구유형을 선택하고 연구의 개략적인 설계를 기획하시오.단일사례설계
    리포트 | 5페이지 | 2,000원 | 등록일 2020.04.03
  • 인문학적 사고와 도시개발의 상호관계성 / 도시개발실무 중간고사
    ‘실시계획’, 개발계획을 수립한 이후에 개발 사업의 시행자가 작성하는 단계. 도시개발을 위한 기본 설계, 실시설계의 각 공종 등 설계도서의 작성을 요한다. ... ‘기본구상’, 이전 단계에서 기본 콘셉트가 결정되면 상상력을 총동원하여 그 대상지구만이 가지고 있는 정체성을 도시명과 함께 최적의 구상안을 표현하는 단계. ... ‘기본계획(토지이용계획)’, 각종 도시계획사업을 위한 도시계획 또는 세부 시설 계획의 기초가 되는 기본적, 종합적인 단계.
    리포트 | 3페이지 | 2,000원 | 등록일 2022.07.20
  • 단일사례조사 개념과 유형(3가지) 및 사회복지실천 현장에의 적용에 대해 서술하시오
    단일사례설계의 개념과 특징II. 단일사례설계기본 개념가. 기초선나. A와 BIII. 단일사례설계의 유형가. AB설계(기본단일설계 : 기초선 -> 개입단계)나. ... AB설계(기본단일설계 : 기초선 -> 개입단계)AB설계기본단일설계라고도 하며 AB설계는 기초선(A) 설정 후 개입)이 뒤따르는 것을 말한다. ... 다음은 단일사례설계기본 개념 및 유형에 대한 설명이다.II. 단일사례설계기본 개념가.
    리포트 | 5페이지 | 3,500원 | 등록일 2020.03.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:15 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대