• 통큰쿠폰이벤트-통합
  • 통합검색(7,289)
  • 리포트(6,321)
  • 시험자료(344)
  • 자기소개서(339)
  • 방송통신대(250)
  • 논문(15)
  • 서식(12)
  • ppt테마(4)
  • 이력서(3)
  • 노하우(1)

"논리설계실험" 검색결과 141-160 / 7,289건

  • 04 논리회로설계실험 예비보고서(인코더,디코더)
    논리회로설계 실험 예비보고서 #4실험 4. 디코더 엔코더 설계1. ... 실험 목표VHDL 코드를 이용해 Decoder, Encoder 비교기를 설계하는 방법을 익힌다.두 비교기는 Behavioral 표현 방식과 Data Flow 표현 방식으로 설계 한다 ... 실험 내용- 실험 1. 3X8 디코더를 설계하시오(1) 진리표입력출력A2A1A0D7D6D5D4D3D2D1D00000000000100100000010010000001000110000100010000010000101001000001100100000011110000000
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 논리회로 설계실험 mux
    Multiplexer 설계1.
    리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
  • 01 논리회로설계실험 결과보고서(And, or gate)
    논리회로설계 실험 결과보고서 #1실험 1. 기본게이트 설계1. ... 논리회로 설계 시에는 동작적 모델링과 자료 흐름 모델링 2가지 방법을 이용하여 설계한다. ... 실험 목표VHDL을 이용하여 AND gate와 OR gate를 설계한다.각 게이트를 설계 할 때, 동작적 모델링과 자료 흐름 모델링을 이용한다.3. 실험 결과실험 1.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 04 논리회로설계실험 결과보고서(인코더,디코더)
    논리회로설계 실험 결과보고서 #4실험 4. 디코더 인코더 설계1. ... 실험 목표디코더 인코더에 대해 이해하고 VDHL을 이용해 설계해본다.이 때, 디코더 설계시에는 동적적 표현(if문)과 자료흐름적 표현(when ~ else 문)을 사용하고 인코더 설계 ... 고찰디코더, 인코더를 설계하는 실험을 진행하였다. 디코더 설계 시에는 동작적 표현(if문), 자료흐름적 표현(when ~ else 문)을 사용하였다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 03 논리회로설계실험 결과보고서(병렬가산기)
    논리회로설계 실험 결과보고서 #3실험 1. 정류회로1. ... 실험 결과실험 1. ... 매우 간단하게 설계가 되었는데,SUM
    리포트 | 5페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 논리회로설계실험_비교기
    (a, b)beginif a=b theneq ... 위의 그림은 설계를 수정하여 출력 파형을 확인한 것이다.2)테스트 벤치 코드library ieee;Use IEEE.STD_logic_1164.all;entity one_bit_comparator_tb
    리포트 | 8페이지 | 1,000원 | 등록일 2010.04.10
  • [PPT]준실험적 방법에 의한 정책평가, 대표적 준실험설계와 그 논리, 준실험의 약점, 준실험의 약점 보완
    ..PAGE:1..PAGE:2전실험적 방법의 약점1대표적 준실험설계와 그 논리2준실험의 약점33준실험의 약점 보완44**..PAGE:3*정책평가의 방법비과학적인 방법과학적 체계적 방법비실험적 ... 해결 할 수 있다.공통점차이점전실험설계와 모든 것이 동일비교집단(comparison group)을 하나 추가함*..PAGE:9우유급식의 효과를 추정하는 논리두 집단의 성숙효과는 ... (non-equivalent control group design)준실험설계에서 비동질적 통제집단의 설정은 경쟁가설인 성숙효과를 제거할 수 있다는 점에서 전실험설계의 문제점을 상당부분
    리포트 | 27페이지 | 2,000원 | 등록일 2015.05.23
  • 논리회로설계실험 프로젝트_digital door rock
    과 목 : 논리회로설계실험과 제 명 : 프로젝트 결과보고서(P_6조)담당교수 : 조준동 교수님학 과 : 전자전기공학과학 년 : 3학년학 번 : 2006312687, 2006312117이 ... 름 : 서 영 진, 김 현 기학 번 : 2007310623, 2007313531이 름 : 정 광 수, 손 계 익제 출 일 : 2011. 6. 2111_1학기_논리회로설계실험 프로젝트 ... 설계 관련 이론가.
    리포트 | 44페이지 | 4,000원 | 등록일 2012.03.20
  • 논리회로설계실험 스텝모터 제어기의 설계
    1.VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity motor2_rot isport (CLK_4M : In s..
    리포트 | 6페이지 | 3,000원 | 등록일 2010.12.22
  • 논리회로 설계 실험 계산기 설계
    계산기 설계1. ... Introduction1)LCD를 이용하여 계산기를 설계 할 수 있다.2)LCD출력 특성에 대해 알 수 있다.3)FPGA 보드에 있는 다양한 스위치들을 다뤄 볼 수 있다.4)여러 개의 ... STD_LOGIC;operand : in STD_LOGIC_VECTOR (3 downto 0));end data_gen;표 data_gen entityㄱ)실습해야할 내용☞ 4비트 덧셈/뺄셈기 설계
    리포트 | 21페이지 | 1,000원 | 등록일 2009.07.10
  • 논리회로실험 - 제 8장 VHDL의 순차 논리 회로 설계에서 Finite state machine을 설계 결과보고서
    IntroductionVHDL의 순차 논리 회로 설계에서 Finite state machine을 설계한다. ... 과 목 : 논리회로설계과 제 명 : 결과보고서 8담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 5 / 291. ... Design(1)어떠한 회로를 설계할 것인가 1)1)FSMFSM이란 Finite state machine의 약자로 일정한 천이 가능한 상태 내에서만 동작하는 순차 논리 회로이다.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 02 논리회로설계실험 결과보고서(전,반가산기)
    논리회로설계 실험 결과보고서 #2실험 2. 반가산기와 전가산기 설계1. ... 구조적 모델링 방식은 이미 설계된 두 두 논리 회로를 하나로 합쳐 설계하는 방법이다. ... 고찰VHDL을 이용하여 반가산기와 전가산기를 설계하는 실습을 진행하였다. 이 전까지는 논리회로를 설계하는 방법은 자료구조 모델링과 동작적 모델링 2가지만 존재하는 줄 알았다.
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 논리설계실험 chap 01 논리게이트설계
    .- 기본적인 아이콘의 기능- 새로운 Project, Source 만들기- Simulator 작동시키기이론으로만 알고 있는 논리게이트 ( AND, OR, XOR )를 직접 설계해본다 ... .- Entity 지정, 변수( 입력, 출력 )- Architecture 설계 ( AND, OR, XOR 게이트로 architecture 구현 )Simulator 과정 중에 생기는 ... 수정하여 올바른 결과 값을 얻는다.- Testbench 를 직접 작성하여 Simulator로 입, 출력 signal을 확인Problem StatementAND, OR, XOR 게이트 설계
    리포트 | 5페이지 | 1,000원 | 등록일 2008.10.26
  • 논리회로실험_VHDL을 이용한 신호등 설계
    1. Object- Using the traffic lights module mounted on HBE-COMBO II, implement traffic lights controller easily accessible in our lives.- Traffic light..
    리포트 | 25페이지 | 3,000원 | 등록일 2011.07.06 | 수정일 2017.06.21
  • 논리회로 설계실험 가산기
    4-bit 가산기 설계1. ... Introduction1) 비트의 덧셈, 뺄셈과 관련하여 반가산기, 전가산기, 보수(complement) 이론 등을 확실히 이해한다.2) 조합논리회로의 기본이 되는 4비트 감가산기의
    리포트 | 8페이지 | 1,000원 | 등록일 2009.07.10
  • 논리회로설계실험 7-Segment 제어기 설계
    1.VHDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 논리회로설계실험_4조_실험일(080603)_보고서
    HDL Codelibrary IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity seven_seg is ..
    리포트 | 3페이지 | 1,000원 | 등록일 2011.11.19
  • 논리회로설계실험_4조_실험일(080416)_보고서
    Decoder (Data flow)HDL Codelibrary ieee; use ieee.std_logic_1164.all; entity decoder_data is port(X : in std_logic_vector(2 downto 0);..
    리포트 | 6페이지 | 1,000원 | 등록일 2011.11.19
  • 논리회로설계실험_4조_실험일(080506)_보고서
    CounterHDL Codelibrary IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter is Port ( clk : in S..
    리포트 | 5페이지 | 1,000원 | 등록일 2011.11.19
  • 논리회로설계실험_4조_실험일(080401)_보고서
    Half - adderlibrary IEEE; use IEEE.std_logic_1164.all; entity half_adder is port(x,y:in std_logic; s,c:out std_logic); ..
    리포트 | 6페이지 | 1,000원 | 등록일 2011.11.19
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:53 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대