• 통큰쿠폰이벤트-통합
  • 통합검색(4,616)
  • 리포트(4,221)
  • 자기소개서(146)
  • 시험자료(117)
  • 방송통신대(105)
  • 논문(14)
  • 서식(7)
  • ppt테마(2)
  • 노하우(2)
  • 이력서(1)
  • 표지/속지(1)

"디지탈시계" 검색결과 141-160 / 4,616건

  • (디지털 공학 과목) 디지털 시계 제작 PPT파일
    디지털 전자 시계지도 교수님 : 김상곤 교수 03161394 김 지 훈 03161662 조 장 원 03161684 최 양 현4조 term project목 차디지털 시계 개요 블록 다이어그램 ... 디지털 시계 개요일정한 Clock 발생된 Clock을 나눔 나눠진 신호를 초, 분, 시 단위로 카운트 각 단위 별로 7 segment 에 출력 시 단위를 이용 오전/오후10M Hz1
    리포트 | 31페이지 | 2,000원 | 등록일 2010.08.25
  • 디지털시계 사전보고서
    디지털실험사전보고서시계?시계1)이론타이머는 설정한 시간이 되면 신호를 출력하는 것이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.06.28 | 수정일 2018.02.19
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험19) 디지털 시계 설계
    《 실험19 예비 보고서 》조제출일학과/학년학번이름1) 에서 빠진 코드를 채워라.? 코드2) , , , , 그리고 의 동작을 이해하고, Quartus Ⅱ을 이용하여 시뮬레이션하고, 각 모듈에 대한 심볼을 생성하라.? ? 시뮬레이션? ? ? ? 시뮬레이션- 00모드- 0..
    리포트 | 8페이지 | 3,000원 | 등록일 2014.10.21 | 수정일 2016.06.15
  • VHDL을 이용한 디지털 시계 구현
    두 번째 term project Digital Clock1. ... VHDL을 이용한 Digital Clock 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all
    리포트 | 4페이지 | 1,500원 | 등록일 2009.06.24
  • 논리회로-디지털시계 설계 텀프로젝트 발표자료
    ..PAGE:1Digital logic designTerm project11조..PAGE:2topic..PAGE:3Topic : 디지털 시계..PAGE:4Design process. ... .PAGE:5Step 1 : find possible stateEMH000시간 조절모드001시간 ++010분 조절모드011분 ++100시계동작모드101시계동작모드110시계동작모드111시계동작모드 ... reductionUnnecessary - SKIP..PAGE:9Step 5 : State assignmentEMH000시간 조절모드001시간 ++010분 조절모드011분 ++100시계동작모드101시계동작모드110시계동작모드111시계동작모드
    리포트 | 15페이지 | 1,000원 | 등록일 2013.12.22
  • VHDL을 이용한 디지털 시계
    ALTERA MAX+PLUS Ⅱ를 사용한 디지털 시계LCD와 7세그먼트로 시간을 표현스탑워치와 알람기능포함도트메트릭스로 시간을 표현핀설정은 다 되어있음
    리포트 | 8,000원 | 등록일 2008.01.15
  • DE2 보드 이용 디지털 시계 만들기
    핀 설정 및 코딩에서의 에러 때문에 시간이 오래 걸렸지만 결국 에러를 수정하고 핀 설정을 수정한 후에 시계가 동작하는 것을 확인하였다. ... 왼쪽 2개의 led 가 시간, 그 다음 2개의 led 가 분, 마지막 2개의 led 가 초를 나타낸다. 1초마다 시계가 올라가며 60초에 초 led 는 00 으로 바뀌며 분 led
    리포트 | 8페이지 | 3,000원 | 등록일 2011.06.24 | 수정일 2015.07.19
  • vhdl을 이용한 디지털시계+스탑워치 설계
    +날짜, 스탑워치) - Set모드 진입 시, Key[3] 누르고 있으면 자동 카운팅State문을 이용하여 총 5개의 모드 구현 (시계 Run, 시계 Set, 날짜 Run/Set ... 기능시계 Run/Set 모드 - 모드 변경키를 이용하여 Run/Set 모드 변경 가능날짜 Run/Set 모드 - 날짜 모드 진입 후 최초 Run 모드 - Key[2]를 누르면 ... , 31일) 윤달 미 지원알람 Run/Set 모드 - 알람 모드 진입 후 최초 Run 모드 - Key[2]를 누르면 Set모드 진입, 계속 누를 시 다시 Run모드 - 시계
    리포트 | 13페이지 | 무료 | 등록일 2012.06.28 | 수정일 2018.05.29
  • [논리회로] 디지털시계 설계 텀프로젝트 제안서
    Digital Logic Term Project Proposal수강번호132411 조■ Title : 24시간 표현 디지털시계■ Objective24시간이 표현되는 디지털시계를 논리회로
    리포트 | 2페이지 | 1,000원 | 등록일 2013.02.06
  • 디지털시계 발표자료
    디지털 전자 시계 (시계, Stop watch, 요일)-구성 설명 및 제작기목 차디지털 시계 개요 블록 다이어그램 요점 부분 설명 추가 기능/보완 점 완성 사진1. ... 디지털 시계 개요일정한 Clock 발생된 Clock을 나눔 나눠진 신호를 초, 분, 시 단위로 카운트 각 단위 별로 7 segment 에 출력 시 단위를 이용 오전/오후10M Hz1
    리포트 | 31페이지 | 1,000원 | 등록일 2007.12.10
  • 기초전자회로실험 - 디지털 시계
    시계의 전체 동작을 제어하기 위한 회로이다.초기화 회로전원이 공급될 때 디지털 시계를 리셋시키거나 또는 디지털 시계가 동작 중에 있을 때 스위치를 이용하여 디지털 시계를 리셋시키기 ... 1.조 구성원2.Project명디지털 시계3.Project goal디지털시계의 논리회로를 이용해 회로도에 대한 기본적인 지식습득과 직접 회로를 구성함으로서 차후에 보다 복잡한 회로구성에 ... 도움이 되고자 한다.4.Main Subject & Basic Theory디지털 시계의 구성디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to
    리포트 | 10페이지 | 3,000원 | 등록일 2011.04.25
  • 맥스플러스(maxplus)를 이용하여 디지털 시계 제작
    디지털 시계 설계? ... 시계 구현, 컴파일러 및 시뮬레이터 확인※ 디지털시계를 설계하기 전 기본적인 사용법 익히기◎ AND게이트 구현 및 시뮬레이션AND게이트 구현compiler 실행waveform 확인 ... 고찰1.설계 목적○ 카운터를 이용하여 10진 카운터, 6진 카운터, 12진 카운터를 설계한다.○ 설계한 카운터를 심볼화 하여 최종적인 디지털 시계를 설계한다.○ MAXPLUS2의 회로
    리포트 | 19페이지 | 2,000원 | 등록일 2011.06.20
  • VHDL 디지털시계 최종보고서 시뮬레이션(소스 포함)
    VHDL- 디지털 시계-Term PROJECT5조200##### ###※ 목차 ※1. 작품 선정동기 및 개발 목적/목표2. 개발내용- 소스코드- 시뮬레이션1. ... 그것을 응용함으로써 더욱 완벽히 VHDL에 대한 것을 알아 가기 위해 선정을 하게 되었습니다.조원들의 의견을 종합으로 모두 만족했기에 이번 2학기 팀 프로젝트는 디지털시계에 도전하기로 ... 그래서 1학기때 많이 보고 경험했던 디지털시계로 의견이 모아졌습니다.이미 한 번 쯤은 경험해 보았지만 처음으로 배운 VHDL에서 간단한 칩으로만 만들었던 회로를 VHDL 방식으로 변환시켜
    리포트 | 15페이지 | 1,500원 | 등록일 2013.01.26 | 수정일 2023.03.08
  • VHDL을 이용한 디지털 시계 설계
    Introduction VHDL(VHSIC Hardware Description Language)을 이용하여 디지털 시계를 설계하기 위한 첫 번째 수행 단계로써 7-SEGMENT의
    리포트 | 25페이지 | 3,000원 | 등록일 2009.02.09
  • Linux Fedora(리눅스 페도라) Minicom 을 이용한 디지털시계 설계
    거쳐 디지털시계를 설계하는 것에 최종 목표를 둔다.-> 만약 상황이 여의치 않을 경우, 주어진 교재 또는 인터넷에 나와 있는 기존의 자료들을 검색하여 디지털시계보다 한 단계 낮은 ... 시계 설계1) 카운터 및 스탑워치 등을 주제 선정하여 구현 시 점수의 90%반영2) 디지털시계 구현 시 100%반영3) 이 외의 주제 난이도 판별하여 별도의 추가점수 반영2. ... 설계를 하려고 하였으나, 아직 프로그램 코딩에 미흡하여 디지털시계를 설계못하고 스탑워치를 설계하기로 함.
    리포트 | 12페이지 | 1,500원 | 등록일 2012.10.11
  • 디지털시계 설계 및 원리
    제14장 디지털 시계14-목 적- 동기식 카운터를 이용한 디지털 시계를 설계14.1 디지털 시계의 구성주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 ... 이와 같은 디지털 시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자. ... 하지만 디지털 시계에서 쓰게 될 카운터로는 enable 제어신호를 갖는 카운터를 설계해야 한다. 카운터가 enable 기능을 가져야 하는 이유를 살펴보자.
    리포트 | 10페이지 | 2,000원 | 등록일 2009.01.31
  • VHDL이용, Digital Clock(디지털 시계)및 부가기능(타이머, 알람, 세계시간등)제작
    전자전기컴퓨터설계실험2프로젝트 최종보고서제출일자 : 2013.12.09.일실험주제: DIGITAL CLOCK 설계1. Introduction (실험에 대한 소개)가. ... Essential Backgrounds (Required theory) for this Lab(1)Text LCD- Text LCD를 이용하여 Digital Clock을 구현하시오.시 ... 실험을 통해 구하고자 하는 데이터와 이를 획득하기 위한 실험 순서[실험1]Text LCD를 이용하여 Digital Clock을 구현하시오.- 목차- 이번 설계 실험은 기본기능/부가기능으로
    리포트 | 22페이지 | 5,000원 | 등록일 2014.02.14 | 수정일 2021.08.25
  • [MaxPlus] 디지털 시계 회로 설계
    Digital Clock Circuit를 설계함으로서 수업중 배운 내용의 이해도를 파악할수 있다.☞ 3.2 10진 카운터 (초,분의 일의 자리)디지털 시계의 구성은 00시 00분 00초 ... 디지털 시스템의 응용사례는 실생활에서 쉽게 찾아볼 수 있다.단순히 가정내를 살펴보더라도 다양한 디지털 장비를 볼 수 있다.그중 대표적으로 Digital Clock가 있다.한 학기동안 ... 상태천이표와카르노맵에 의한 식의 간략화, 카운터, 동기 논리 회로 설계 등 한학기동안 배운 내용을 총 동원하여 Dgital ClockCircuit를 설계에 적용 할 것이며, 시계
    리포트 | 10페이지 | 1,000원 | 등록일 2008.11.13
  • EDA LAB-3000 적용한 디지털시계
    실습조별 과제로VHDL을 이용한 디지털시계를 구현버튼 1, 2, 3 을 사용하여리셋/ 설정모드변경/ 증가버튼I/O Pin 설정은 EDA LAB-3000 에 적용시켰습니다.
    리포트 | 1,000원 | 등록일 2010.11.11
  • VHDL을 이용한 디지털 시계구현 발표자료
    20001741 김응일Digital clock system designCopyright@20001741 김응일 all rights reserved.123System flow chartSource
    리포트 | 22페이지 | 2,000원 | 등록일 2010.12.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:44 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대