• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(654)
  • 리포트(557)
  • 시험자료(56)
  • 방송통신대(33)
  • 논문(6)
  • 자기소개서(2)

"산술논리연산회로" 검색결과 141-160 / 654건

  • [컴퓨터구조] 중간범위 간단 정리
    (Arithmetic and Logic Unit)① 상태 플래그 : ALU 내의 상태 표시② 이동기 : 데이터의 좌우 이동③ 보수기 : 보수 연산산술 및 부울 로직 : 산술 연산과 ... 연산장치 (+, -, ×, ÷등을 수행)② 논리 연산장치 (AND, OR, XOR, NOT 등을 수행)③ 쉬프트 레지스터 (비트들을 좌우측으로 이동)④ 보수기(complementer ... 논리 연산레지스터 세트① CPU 내bus)① ALU와 레지스터들간의 데이터 이동을 위한 통로② 데이터 선과 제어선으로 구성③ 외부의 시스템 버스와 연결되지 않음④ 버퍼레지스터 또는
    리포트 | 8페이지 | 1,000원 | 등록일 2019.04.01
  • 컴퓨터의이해- 교재 15,16 페이지를 읽고 개인용 컴퓨터를 하나 선정
    구성요소로는 프로그램 카운터, 산술논리연산부, 레지스터, Stack 포인트, 제어부, 명령어 해독부, 타이밍 발생회로가 있다. ... ‘연산장치’는 자료를 산술연산, 논리연산, 자리이동, 크기비교 등의 일을 담당한다. 기억장치기억장치는 데이터 보관소다.
    방송통신대 | 6페이지 | 2,000원 | 등록일 2019.02.28 | 수정일 2019.03.02
  • 아주대 임베디드 시스템 실험1_예비
    Micro Processor & Micro Controller Unit- Microprocessor는 초소형 연산처리장치로 컴퓨터의 산술논리 연산기, 레지스터, 프로그램 카운터, 명령 ... 디코더, 제어회로 등의 연산장치와 제어장치를 1개의 작은 실리콘 칩에 모아놓은 처리장치를 말한다. ... . - 제공된 회로를 바탕으로, LED와 Switch의 제어를 통해서 기본적인 I/O 제어 및 동작을 이해한다.2) 실험이론1.
    리포트 | 6페이지 | 2,000원 | 등록일 2019.01.28
  • [기초전자회로실험1] "Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 (NAND2, NOR2, XOR2)" 예비보고서
    위해 사용한다.Verilog HDL의 연산자는 다음과 같은 문법으로 이루어진다.산술 연산자+,`-,` TIMES ,`÷은+,-,*,/으로 사용하고관계 연산자>, ... 구조적으로 Gate Array, 이용자가 직접프로그램 가능(Field Programmable Gate Array)이다.Basys3 Artix-7 FPGA Board표 [11-1] 논리 ... 스위치뿐만 아니라 설계, 동작까지 설계 VLSI(Very Large Scale Integration)설계 및 칩 제작 가능하고 설계회로를 제작하기 전에 회로의 동작여부를 시뮬레이션하기
    리포트 | 7페이지 | 1,500원 | 등록일 2019.03.18 | 수정일 2019.03.29
  • ALU 학습지도안
    학습 지도안단원명컴퓨터의 하드웨어 (ALU, 산술연산논리장치)차시5, 6/10학습목표1. 산술연산논리장치의 기능과 역할에 대하여 이해할 수 있다.2. ... , OR과 사칙연산이 있는데 이는 두 개의 자료에 대해서 연산을 행한다.2) 산술논리연산장치의 역할산술 논리 연산 장치는 가감승제와 같은 산술 연산과 두 수의 크기를 비교하고 판단하는 ... 이와 같이 빼는 수를 보수로 바꾸어 주는 회로가 보수기이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2018.03.29
  • 중앙처리장치에 대하여
    산술논리연상장치는 산술연산논리 연산을 하는 장치이고, 이 장치에는 산술 및 부울 논리 연산기, 상태플러그, 이동기, 보수기가 존재한다.- 산술 및 부울 논리 연산기 : 뺄셈, 곱셈 ... (산술, 논리 연산 담당): CPU 내 연산장치를 산술논리연상장치(ALU)라고 한다. ... , 덧셈, 나눗셈, AND, OR, NOT, XOR과 같은 산술연산, 논리연산을 수행한다.- 상태 플러그 : 음수, 0, 오버플로우를 표현한다.- 이동기 : 비트를 좌우로 이동시키며
    리포트 | 4페이지 | 1,500원 | 등록일 2018.09.18
  • 논리회로설계실습-비교기-MUX-ALU-예비보고서
    디멀티플렉서의 구성도와 진리표는 다음과 같다.산술논리연산장치(ALU: Arithmetic logic unit)산술논리연산장치는 산술연산, 논리연산 및 시프트(shift)를 수행하는 ... MUX와 유사하게 두개의 입력(A, B)을 받아 선택입력(S0, S1)의 조합에 따라 두개의 입력의 선택입력 조합에 해당하는 산술논리연산을 수행한다.실험 내용실험1. ... 논리회로설계 실험 예비보고서 #5실험 5. 조합 회로 설계-비교기_MUX_ALU실험 목표비교기와 MUX, DEMUX 그리고 ALU의 작동에 대하여 이해한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 디지털공학실험 05. 가산기 ALU 예비
    논리연산을 수행할 수 있다.74181의 ALU의 동작74181은 산술논리연산기능을 하나의 칩 안에서 동작되도록 만들어진 집적회로이다. ... 가지고 있다.산술논리 연산장치ALU는 사칙연산을 비롯하여 여러 가지 산술논리연산 기능을 하나의 MSI내에서 이루어지도록 한 소자이다. 4비트 ALU인 74x181은 24개의 ... 모드선택 단자 M이 H일 경우 논리연산을 수행하며 L일 경우 산술연산을 수행하게 된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29 | 수정일 2017.07.01
  • 디지털공학실험 06. 조합논리회로응용 예비
    논리연산을 수행할 수 있다.74181의 ALU의 동작74181은 산술논리연산기능을 하나의 칩 안에서 동작되도록 만들어진 집적회로이다. ... 가지고 있다.산술논리 연산장치ALU는 사칙연산을 비롯하여 여러 가지 산술논리연산 기능을 하나의 MSI내에서 이루어지도록 한 소자이다. 4비트 ALU인 74x181은 24개의 ... 모드선택 단자 M이 H일 경우 논리연산을 수행하며 L일 경우 산술연산을 수행하게 된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29 | 수정일 2017.07.01
  • 시립대 전전설2 [4주차 예비] 레포트
    Essential Backgrounds for this Lab반가산기반가산기란 덧셈 연산을 수행하는 논리 회로이며 디지털 회로, 조합 회로의 하나이다. ... 가산기는 산술 논리 장치뿐만아니라 주소값, 테이블 색인 등을 더하는 프로세서의 한 부분으로 사용되고 있다. ... 조합논리회로와 순차논리회로의 설계, 설계된 회로의 시뮬레이션을 위한 테스트 벤치의 작성에 사용되는데, always 구문의 감지신호목록은 조합논리 모델링에서는 모델링되는 회로의 입력
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 디시설 - 전가산기, 전감산기 설계
    - 전가산기는 3비트에 대해 산술 덧셈을 실행하는 조합논리회로이다. 이 회로는 3비트 입 력과 2비트 출력으로 구성된다. ... 동작 표현에 의한 설계에서는 진리표를 작성하는 과정 없이 산술 연산을 통해 입출력 관계를 표현함으로써 회로를 구현하였다.이 기능 모델로 설계했을 때의 장점은 디지털 신호의 비트 수가 ... 전가산기, 전감산기 설계 과정을 통해 조합논리회로를 VHDL로 설계하는 방법에 대해 공부한다.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • 컴퓨터의이해 ) (가) 교재 15,16 페이지를 읽고 개인용 컴퓨터를 하나 선정한다.(나) 교재 9페이지와 교재 3장, 4장을 읽어보고 (가)에서 선정된 컴퓨터의 입력장치, 출력장치, 중앙처리장치, 기억장치에 대하여 교재, 인터넷, 참고문헌 등을 참조하여 자세히 설명한다.
    논리연산을 수행하는 산술논리연산장치(ALU : Arithmetic and Logic Unit)로 구성된 장치를 의미한다. ... 중앙처리장치중앙처리장치(CPU : Central Processing Unit)이란 컴퓨터에 대한 명령어를 불러와 해석하고 해석된 명령어에 따라 작업을 지시하는 제어장치(control unit) 및 산술연산과 ... 모니터는 디스플레이 장치, 컴퓨터가 보내는 전기적 신호에서 그림을 만들어내는 회로, 케이스로 구성되어 있으며 데이터 처리 결과를 시각적으로 사람에게 출력하여 보여주는 역할을 한다.
    방송통신대 | 4페이지 | 3,000원 | 등록일 2018.10.18 | 수정일 2019.04.19
  • <컴퓨터 구조 및 설계>4장 프로세서(Data path & Mapping Control) 요약정리
    Lw 명령어는 레지스터 하나만 읽으면 되지만 대부분의 다른 명령어는 레지스터 두개를 읽는다.ALU 사용메모리 참조 명령어는 주소 계산을 위해 사용산술/논리 명령어는 연산을 수행하기 ... 그리고 PLA를 논리 회로로 표현하는 것이 밑에 그림이다. 구조화된 두 레벨 논리 배열을 사용하는 것이다. 표가 주어질 때 저 PLA을 그려보자! ... ) : 산술/논리 연산=> 여기서 ALUUP가 00이거나 01이면 해당 ALU 동작은 기능 코드 필드에 영향x 이 경우에 기능 코드 값에 대해 ‘don’t care’라고 말하며 기능
    리포트 | 23페이지 | 1,000원 | 등록일 2019.07.29 | 수정일 2019.08.13
  • [Ayeun]컴퓨터구조 CPU 설계 보고서
    즉 피연산자가 저장된다.AC : 어큐뮬레이터-누적기 이며 ALU(산술논리회로)의 연산결과를 저장한다. 이 연산결과를 다음 연산에서 ALU가 바로 다시 이용할 수 있도록 되어있다. ... 연결되어있다.- 가산논리회로 입력AC를 보수화 하고 시프트하기 위해 AC출력에 연결된 입력DR의 출력에 연결된 입력과 함께 산술논리연산을 수행한다.덧셈과 같은 결과는 AC에 전송되고 ... 로직- AC의 입력에 연결된 가산 논리회로(ALU)SRAM : 62256 (32Kbyte) → 4Kbyte만 사용Counter & Register : 74163Buffer : 74244
    리포트 | 22페이지 | 3,000원 | 등록일 2018.12.21
  • [예비레포트] 마이크로 프로세서 및 기본 환경 세팅
    하고, B에 저장| =A|=BB와 A를 bitwise OR연산을 하고, B에 저장^=A^=BB와 A를 bitwise XOR연산을 하고, B에 저장● 산술연산자 ● 대입연산자● 논리연산자 ... 값(또는 값의 범위)를 정하고 그 이유를 논리적으로 설명하시오. ... ● 관계연산자 ● 비트연산자- c언어 기본 함수 (출처 - 네이버 지식백과)
    리포트 | 8페이지 | 1,000원 | 등록일 2019.08.22
  • 컴퓨터의이해 = ) (가) 교재 15,16 페이지를 읽고 개인용 컴퓨터를 하나 선정한다.(나) 교재 9페이지와 교재 3장, 4장을 읽어보고 (가)에서 선정된 컴퓨터의 입력장치, 출력장치, 중앙처리장치, 기억장치에 대하여 교재...
    논리연산을 수행하는 산술논리연산장치(ALU : Arithmetic and Logic Unit)로 구성된 장치를 의미한다. ... 중앙처리장치중앙처리장치(CPU : Central Processing Unit)이란 컴퓨터에 대한 명령어를 불러와 해석하고 해석된 명령어에 따라 작업을 지시하는 제어장치(control unit) 및 산술연산과 ... 모니터는 디스플레이 장치, 컴퓨터가 보내는 전기적 신호에서 그림을 만들어내는 회로, 케이스로 구성되어 있으며 데이터 처리 결과를 시각적으로 사람에게 출력하여 보여주는 역할을 한다.
    방송통신대 | 4페이지 | 3,000원 | 등록일 2018.09.12 | 수정일 2018.10.18
  • [방송통신대학교 공통과제] 2017 컴퓨터의 이해 A+자료(대학 전문서적 참고, 인터넷자료 제외)
    산술연산 부분은 가감승제 등 산술연산과 양수 음수의 결정, 반올림 등을 할 수 있는 회로로 구성되며, 논리연산 부분은 값의 대소를 비교하고, 그 결과를 이용하여 명령들이 수행되는 순서를 ... 연산장치(ALU)는 데이터 처리를 담당하는 장치로 산술이나 논리연산을 호출할 경우에 이 장치가 이 처리르 담당합니다. ... 제어장치는 레지스터 사이의 정보 전송을 감시하거나 산술논리연산장치에서 수행할 동작을 지시하고 레지스터는 명령어를 실행하는데 필요한 데이터를 보관하고, 산술논리장치는 명령어 실행을 위한
    방송통신대 | 6페이지 | 3,500원 | 등록일 2017.06.16 | 수정일 2019.10.07
  • 금오공대 전자공학부 컴퓨터구조 ㅅㅇㅎ 2010년 2학기 중간시험 족보
    마이크로 연산이란 무엇인지 설명하시오.(2점)8. 2진수 1011이 있다. 오른쪽 산술 시프트를 하였을때의 값을 구하고, 문제가 발생하였다면 설명하시오. ... 순차회로와 조합회로의 차이는 무엇이고, 기본 컴퓨터의 ALU는 순차회로인가 조합회로인가.(2점)4. ... 반가산기 1개와 전가산기 1개를 이용하여 2비트의 2진 덧셈을 수행하는 덧셈기를 논리게이트를 이용하여 구현하시오.(3점)3.
    시험자료 | 2페이지 | 10,000원 | 등록일 2018.05.05 | 수정일 2022.05.07
  • (방통대) (컴퓨터의이해) 1) 아래의 문제에 대하여 A4 용지 2페이지 이내로 서술하라. 2) 2차원바코드에 대하여 다음의 내용을 A4 용지 2페이지 이내로 서술하라.
    프로그램카운터, ALU(산술논리연산부), Register, 명령 해독부, Stack S택 포인트, 제어부, 타이밍 발생회로 등으로 구성된다. ... 명령어를 해독하고 산술논리연산이나 데이터처리를 실행하는 장치다. ... CPU 구조는 CPU를 메인보드에 장착시키기 위한 CPU소켓, 연산을 담당하는 CPU코어, CPU를 소켓과 연결하는 CPU핀, CPU의 열을 식혀주는 냉각팬으로 되어 있다.* 기억장치
    방송통신대 | 6페이지 | 2,000원 | 등록일 2019.03.05 | 수정일 2019.03.07
  • 컴퓨터의 이해 1. 아래의 문제에 대하여 A4 용지 2페이지 이내로 서술하라. (15점) (가) 교재 15,16 페이지를 읽고 개인용 컴퓨터를 하나 선정한다. (나) 교재9 페이지와 교재3장, 4장을 읽어보고 (가)에서 선정된 컴퓨터의 입력장치, 출력장치, 중앙처리장치, 기억장치에 대하여 교재, 인터넷, 참고문헌 등을 참조하여 자세히 설명한다.
    번역하여 산술논리 연산장치로 하여금 처리하게 되는 것이다.? ... 위의 여러 가지 회로는 단단한 표면위에 눌려 찍히는데, 보통 일괄적으로 단번에 만들어진다.* 연산장치가감승제와 같은 산술연산 명령을 수행하는데 필요한 전자회로가 있으며 두 수의 크기를 ... 비교하고 비교된 결과를 가리키는 논리명령을 수행하는데 필요한 논리회로, 전자회로로 되어 있다.* 제어장치중앙처리장치의 제어장치에서 제어장치 내의 전자회로가 프로그램에 있는 명령어를
    방송통신대 | 11페이지 | 5,000원 | 등록일 2018.04.03 | 수정일 2020.03.14
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:43 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대