• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(654)
  • 리포트(557)
  • 시험자료(56)
  • 방송통신대(33)
  • 논문(6)
  • 자기소개서(2)

"산술논리연산회로" 검색결과 201-220 / 654건

  • (방통대) (컴퓨터의이해) 교재 15,16 페이지를 읽고 개인용 컴퓨터를 하나 선정
    중앙처리장치를 구성하는 요소들은 프로그램 카운터, 산술논리 연산부, 레지스터, Stack 포인트, 제어부, 명령어 해독부, 타이밍 발생회로 등이 있다.
    방송통신대 | 6페이지 | 2,000원 | 등록일 2019.03.04 | 수정일 2019.03.05
  • 논리회로설계실험 ALUkit (결과보고서)
    이것은 산술연산논리연산을 하는 유닛이다.외국어 표기Arithmetic and Logic Unit(영어)ALU arithmetic and logic unit 산술 논리 연산 장치( ... 들어가게 되며 이에 해당하는 출력값이 연산되어 나오게 된다.위는 input_a, input_b에 새로운 값을 넣은에 해당하는 회로에서 00의 출력을 나타낸다.3. ... [네이버 지식백과] ALU [Arithmetic and Logic Unit] (용어해설)위의 ALU를 통하여의 연산을 수행하는 회로를 설계한다.이렇게 수행된 결과를 아래의 7segment로
    리포트 | 20페이지 | 1,000원 | 등록일 2015.08.25
  • 논리회로실험 - 제 4장 12가지의 연산을 수행하는 ALU를 설계 결과보고서
    총 12개의 산술, 논리, 시프트 연산을 해야 한다. 산술연산과, 논리연산, 시프트연산을 하기 위해서는 s의 신호형태를 보아야한다. ... Introduction지금까지의 실험은 하나의 산술연산이나 논리연산만 계산하는 코드를 구성했다. ... 이번 실험은 state를 받아서 여러 가지 산술연산논리연산, 시프트연산을 할 수 있는 코드를 구성하는 것이다.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • Verilog HDL 문법 자료 (A+받은 자료 입니다)
    (Operator)• Primitive 연산자 • 논리 연산자 • 관계 연산자 • 산술 연산자 • 비트 단위 논리 연산자 • 비트 단항 논리 연산자 • 시프트 연산자 • 기타 연산자Verilog ... = • • = • • =산술 연산자 • + • - • * • / • %비트 단위 논리 연산자 • ~ • | • • ^ • ~^, ^~비트 단항 논리 연산자 • | • • ~| • ~ ... :Verilog 논리회로 표현 시뮬레이션 Testbench를 이용해 검증한다. • 회로에 실제 입력 대신 시뮬레이션 파형 입력 • 최상위 계층의 module로 선언한다 • Testbench
    리포트 | 34페이지 | 3,000원 | 등록일 2015.06.22 | 수정일 2015.06.23
  • 프로세서란 무엇인가
    -논리연산장치는 각종 덧셈을 수행하고 결과를 수행하는 가산기와 산술논리연산의 결과를 일시적으로 기억하는 레지스터인 누산기, 중앙처리장치에 있는 일종의 임시 기억장치인 레지스터 등으로 ... -중앙처리장치는 비교, 판단, 연산을 담당하는 논리연산장치와 명령어의 해석과 실행을 담당하는 제어장치로 구성된다. ... RISC는 연산속도를 향상시키기 위해 제어 논리를 단순화해서 CISC에 비해 가격이 저렴하고 주로 워크스테이션에 쓰인다.
    리포트 | 10페이지 | 1,500원 | 등록일 2017.04.21
  • 05 논리회로설계실험 예비보고서(조합회로)
    두 숫자의 산술연산, 논리연산을 계산하는 디지털 회로이다.대부분의 ALU는 다음의 연산을 수행할 수 있다.정수형 산술 연산 (덧셈,뺄셈, 곱셈, 나눗셈)비트 논리 연산 (AND, NOT ... 논리회로설계 실험 예비보고서 #5실험 5. 조합회로 설계1. ... 대해 연산논리동작을 담당한다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 논리회로실험 11주차 예비보고서
    , 논리곱과 같은 논리연산을 계산하는 디지털 회로이며 줄여서 ALU라 한다. ... 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각 연산코드에 대해 특별한 알고리즘이나 순서를 사용하는 순차 논리장치에 의해 통제 된다.? ... R은 출력이고 F는 제어장치로부터의 입력이며, D는 상태 출력이다.산술논리 연산장치(Arithmetic logic unit)는 두 숫자의 덧셈, 뺄셈과 같은 산술연산과 배타적 논리
    리포트 | 3페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 컴퓨터의이해
    자료 처리 순서를 제어하는 제어장치(control unit), 프로그램이나 데이터를 저장할 수 있는 주기억장치(main memory), 산술논리 연산 등을 처리하는 연산논리장치( ... 컴퓨터의 모든 구성 요소(입출력 장치)는 CPU와 연결되고, 입력되는 데이터를 1과 0으로 변환하는 등 컴퓨터의 구성단위 중 기억, 연산, 제어의 3대 기능을 종합하는 것이다(3) ... 그러나 이 속도에서도 컴퓨터 본체 처리속力裝置] (두산백과)(4) 기억장치컴퓨터의 기억장치로 최초에 등장한 것은 초음파와 수은탱크에 의한 지연회로(遲延回路)이며, 이보다 약간 늦게
    방송통신대 | 10페이지 | 3,000원 | 등록일 2017.04.06
  • Logic Works를 이용한 ALU를 기반의 사칙연산 계산기 구현
    산술 논리 연산 장치(Arithmetic and Logic Unit)의 원리를 알고, 어떻게 작동하는지를 파악한다. ... 이러한 진리표를 이용하여 구현한 논리 회로는 과 같다. ... 감·가산 연산부이 그림은 가산과 감산을 수행하는 회로에 대한 그림이다. 16bits로 변환된 4자리 10진수의 BCD를 입력받고, 16bit 감·가산기에서 연산을 수행한다.
    리포트 | 31페이지 | 3,000원 | 등록일 2016.08.16
  • 컴퓨터활용능력 1급 필기 중 *컴퓨터 일반* 필기자료
    -> 연산장치에 있음. 산술논리 연산의 결과를 일시적으로 기억하는 장치* 명령어 기억하는 장치는? IR* 명령을 해독하는 장치는? 해독기@ 레지스터(Register)? ... -> CPU내부에 존재, 명령어나 연산의 중간 결과등 일시적 저장, 기억장치중 가장 빠른 속도@ 해상도(Resolution)? ... 중반이후VLSI, ULSI, 광소자fs, as인공 지능(AI), 패턴 인식퍼지 이론@집적회로 (IC)복합적 전자소자.
    시험자료 | 28페이지 | 2,500원 | 등록일 2018.12.27
  • PLC 개론
    및 1K 기억용량과 128I/O 점수 제어기 등장1974년타이머, 카운터, 산술연산 기능과 12K 기억용량과 1024 I/O 점수처리1976년원격 입출력 시스템 소개(최초로 규격 ... 그러나 시퀀스 제어의 경우에는 제어장치 중의 구성요소로서 논리판단, 기억, 지연 등의 기능을 가지고 있따. ... PLC 입력회로와 출력회로에 대해 설명하라.PLC의 입·출력부는 현장의 외부 기기에 직접 접속하여 사용한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2016.05.20
  • 05-논리회로설계실험-예비보고서
    .- 하나의 입력을 받아 여러 개의 출력포트 중 하나를 선택하여 출력해주는 회로이다.-(4) ALU- 산술논리연산장치(ALU : Arithmetic Logic Unit)의 핵심요소로서 ... 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 4. 8논리회로설계 실험 예비보고서 #5실험 1. ... 과 목 : 논리회로설계실험과 제 명 : #5 조합회로 설계 (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 4
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • [VerilogHDL] CLA를 이용한 16bit 산술논리장치(ALU) 설계
    Simulation을 통해 연산의 지연 시간을 측정한다.■ 개념설계산술논리장치(ALU)는 입력신호 A, B는 다수의 논리회로와 멀티플렉서(Multiplexer, MUX)를 결합한 ... 디지털설계고속가산기(CLA)를 활용한16bit 산술논리장치(ALU) 설계목 차설계개요개념설계회로구현결과검토■ 설계개요고속가산기를 활용하여 8가지 연산(덧셈, 뺄셈, +1증가, -1감소 ... 4bit 산술논리장치를 4개를 연결하여 설계한다.
    리포트 | 6페이지 | 3,500원 | 등록일 2015.08.02
  • [논리회로실험] 실험4. ALU&Booth
    과 목 : 논리회로설계실험과 제 명 : 실험4. ... 여기서는 두 수를 더하고 빼는 것과 어떤 수에 1을 더하거나 빼는 것을 의미한다.② 논리연산 : 논리회로에서 배우는 연산이다. ... '산술연산'과 '논리연산'이 끝났다면 이제 '시프트연산'을 할 차례이다.
    리포트 | 31페이지 | 2,500원 | 등록일 2014.03.22
  • [컴퓨터공학기초설계및실험2 보고서] Subtractor & Arithmetic Logic Unit
    논리 장치)는 두 숫자의 (덧셈, 뺄셈 등과 같은) 산술 연산과 (AND, OR, XOR 등과 같은) 논리연산을 계산하는 디지털 회로이다.ALU는 opcode에 따라서 여러 가지 ... Arithmetic Logic Unit(산술 논리 장치)의 동작원리 및 특성을 이해하고 ALU을 설계하고 검증한다. ... 증가되거나 감소되는 것)blocking과 non-blocking & 차이always문장 안에서 기술할 때 다음과 같은 룰을 따르면 된다.플립플롭과 같은 저장(sequential)회로
    리포트 | 18페이지 | 1,500원 | 등록일 2015.04.12 | 수정일 2016.03.12
  • VHDL을 이용한 산술연산회로설계
    ALU는 산술연산인 덧셈, 뺄셈, 증가, 감소 와 논리연산인 And, Or, Xor, Not을 수행하는 8비트 연산회로였다. ... VHDL을 이용한 산술연산회로설계 B반 5조 2009312075 차승현 2013. 04. 16 Introduction 6주차 실습이었던 산술연산회로설계는 Booth곱셈기와 Alu로 ... 산출논리 연산 유니트, 또는 간단히 연산유니트라고도 부른다.
    리포트 | 25페이지 | 2,000원 | 등록일 2014.06.10 | 수정일 2022.11.07
  • 마이크로프로세서 4비트 설계(맥스플러스)
    블록도4비트 2진 곱셈기의 회로도4비트 2진 곱셈기 컴파일중 오류발생산술논리연산장치(ALU)설계산술논리연산장치는 여러가지 연산들을 하는 조합논리회로로서 산술연산논리 연산을 수행한다 ... 이 회로를 이용하면 여러 입출력 장치에서 일정한 회선을 통하여 중앙 처리 장치로 전해 줄 수 있고, 하나의 입력 회선에 여러 터미널을 접속하여 사용할 수 있다.산술논리연산장치 ALU ... 산술연산 - 연산의 대상이 되는 숫자 정수, 부동 소수점 수(실수), 2 진화 10 진수 -데이터의 표현 대부분의 컴퓨터는 2의 보수 체계로서 연산을 실행 -산술 논리 연산 장치(ALU
    리포트 | 22페이지 | 3,000원 | 등록일 2008.01.15
  • 결과보고서 #5
    실험 목표멀티플렉서와 산술 논리 연산 장치의 기본개념에 대해 이해한다. ... 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 4. 15논리회로설계 실험 결과보고서 #5실험 5.조합회로 설계1. ... 과 목 : 논리회로설계실험과 제 명 : #5 조합회로 설계 (결과)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 4조학
    리포트 | 7페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 마이크로프로세서 결과보고서 2장 - LED ONOFF 해보기
    산술논리 연산장치. addition, subtraction, shift, logical operation을 수행한다.ADDLW(add literal and w)ADDLW k (C, ... 이렇게 하려면 다른 논리소자의 출력을 공통으로 연결하여야 한다. ... (회로구성 전) (회로구성 후)프로그램에 명령어를 error 없이 준비해 놓고 LED 구동회로를 구성한 후 AUTO 를 눌렀다.프로그램을 down l1 0 10EH 1 1 1 00FH
    리포트 | 14페이지 | 3,000원 | 등록일 2016.06.25 | 수정일 2016.06.27
  • 논리회로실험) ALU 예비보고서
    산술논리 연산 장치라고 부른다. ... 덧셈, 뺄셈, 곱셈, 나눗셈에 대해 나타낸다.- 산술 연산 장치이전 실험에서 다루었던 전가산기가 기본적으로 구성되어 있으며, 산술 연산인 덧셈, 뺄셈, 곱셈, 나눗셈을 수행하는 연산회로를 ... ALU 은 지난 실험 간에 사용되었던, AND, OR, XOR, NOT 등의 GATE 회로들을 포함하여, 덧셈, 뺄셈, 곱셈, 나눗셈 등을 수행하는 연산 논리회로라고 할 수 있다.컴퓨터
    리포트 | 3페이지 | 2,000원 | 등록일 2014.01.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:19 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대