• 통큰쿠폰이벤트-통합
  • 통합검색(653)
  • 리포트(555)
  • 시험자료(57)
  • 방송통신대(33)
  • 논문(6)
  • 자기소개서(2)

"산술논리연산회로" 검색결과 241-260 / 653건

  • 컴퓨터 구조 CPU 설계 프로젝트 입니다.
    산술/논리 연산: add, sub, and, or, slt, addi, andi, ori, slti, bne? ... CPU 구성기본 구성으로는 CPU에서 처리할 명령어를 저장하는 역할을 하는 프로세서 레지스터, 비교, 판단, 연산을 담당하는 산술논리연산장치(ALU), 명령어의 해석과 올바른 실행을 ... 산술 연산 결과? 메모리 주소 계산(load/store)? 분기 목표 주소 계산? 데이터 메모리 접근(load/store)?
    리포트 | 24페이지 | 1,500원 | 등록일 2014.12.11 | 수정일 2014.12.12
  • SOC설계및실습 Processor Report
    )는 컴퓨터 명령어 내에 있는 연산자들에 대한 연산논리동작을 담당한다. ... RegisterRegister는 입력된 데이터 및 출력된 Data 결과 값을 저장하고 있는 Latch의 역할을 한다Register 설계 코드 Register 테스트벤치 코드7.ALUALU(산술논리장치 ... 이론적으로 ALU는 연산장치(Arithmetic Unit)와 논리장치(Logic Unit)의 두 부분으로 나뉘어져있는데, 연산장치는 데이터의 합, 차, 곱, 나눔, 증가, 감소, 전송
    리포트 | 18페이지 | 2,000원 | 등록일 2014.06.20
  • 논리회로실험 5주차 예비보고서
    디자인 재사용이 가능하며 회로 기능 변경이 용이하다.2) Verilog의 연산연산자기능연산자기능+ , - , * , /산술!==case 부동> , >= , < , ... 단순 논리 게이트나 플립플롭과 같은 기본적인 소자에서부터 제어회로, 통신용 모뎀, 마이크로프로세서 등에 이르기까지 디지털 시스템의 설계 및 검증에 사용되도록 계발된 하드웨어 기술 언어이다
    리포트 | 4페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 자격증 워드 필기 합격전략 및 3과목 요약
    자, 문자출력 형태숫자, 문자연산 형식산술, 논리 연산, 비연속연산 속도느림구성 회로논리 회로프로그래밍필요함정밀도필요한 한도까지기억 기능있음적용성범용< Point 5 > 자료 구성의 ... 연산장치가산기 : 2진수의 덧셈을 수행누산기 : 연산된 결과를 일시적으로 저장하는 레지스터데이터 레지스터 : 데이터 기억상태 레지스터 : 부호, 오버플로 등 기억인덱스 레지스터 : ... 제어장치프로그램 카운터(PC) : 실행할 명렁어 번지를 기억하는 레지스터명령 레지스터 : 명령의 내용을 기억하는 레지스터명령 해독기 : 명령어를 해독하는 회로메모리 주소 레지스터(MAR
    시험자료 | 11페이지 | 1,500원 | 등록일 2016.10.11
  • 전자전기컴퓨터설계실험2(전전설2)2주차예비
    Schematic회로의 여러 요소들 간의 내부 연결 상태를 그림으로 표현하여 각종 함수(논리 함수 등)들과 입력 단자들을 시각적으로 확인하여 회로를 구현할 수 있는 기능을 뜻한다.마 ... HBE-ComboⅡ-SEHBE-ComboⅡ-SE 장비란 FPGA를 이용한 디지털 논리회로 설계 실습을 위한 장치로 회로에서 많이 사용되는 Switch, LED와 같은 주변 장치를 위주로 ... Ripple Carry Full Adder우리가 보통 n-bit의 두 2진수를 더할 때 산술적으로 왼쪽에서부터 더해가면서 carry를 더해준다.
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • AVR을 이용한 마이크로컨트롤러 GPIO 입출력 제어 실험 예비보고서
    다시 말하면 컴퓨터의 산술 논리 연산기와 레지스터, 프로그램 카운터, 명령 디코더, 제어회로 등의 연산장치와 제어장치를 1개의 작은 실리콘 칩에 모아놓은 처리장치를 말한다.* 마이크로 ... * ATMega128 패키지-64핀, TQFP 혹은 MLF, 6개의 범용 입출력 포트 제공** TQFP,MLF : 공간이 제약된 응용프로그램을 설계하는 데 사용되는 집적회로 패키지한
    리포트 | 8페이지 | 1,000원 | 등록일 2015.04.24 | 수정일 2017.05.10
  • 마이크로프로세스 중간고사 족보(2009,2010,2011,2012,2013)
    의미로 사용 한다.다음 그림에 일반적인 마이크로프로세서의 내부 구성여기서 보는 바와 같이 일반적인 마이크로프로세서의 내부는- ALU(Arithmetic Logic Unit) : 산술논리연산장치 ... 그러나 뒤에 다룰 산술연산 명령에서는 명령어 수행시 마다 반드시 flag이 변화한다.다음은 immediate addressing 형식의 MOV 명령 포맷이다.MOV m/r, data여기서는 ... 출력한다.address line 이 안정된 상태가 되면 chip enable 신호가 low 상태가 된다.이때부터 메모리는 동작상태 (active state)로 되어 메모리내부의 회로
    시험자료 | 18페이지 | 2,000원 | 등록일 2016.12.12 | 수정일 2023.04.14
  • 마이크로프로세서의 발전 과정과 컴퓨터 산업에 기여한 점 그리고 최신동향을 A4 용지 2페이지 이내로 자세히 서술하라.
    내부는 산술 논리 연산기, 레지스터, 프로그램 카운터, 명령 디코더, 제어회로 등으로 구성되어 있다. ... CPU의 가장 기본적인 기능은 산술연산논리 연산이라는 것이다. 산술연산은 덧셈, 뺄셈, 곱셈, 나눗셈은 사칙연산을 의미하는 것으로 컴퓨터의 가장 기본적인 기능에 해당한다. ... 것으로 그 중에도 마이크로프로세서(CPU)라는 중앙처리장치용 산술/논리 연산장치, 제어장치가 개발됨에 따라 가능해졌다.
    리포트 | 9페이지 | 2,000원 | 등록일 2013.03.09
  • MCU 자료조사
    ROM, RAM, I/O Port(직렬, 병렬), Timer/Counter, Interrupt처리기가 하나의 반도체 칩에 집적 기계의 제어를 목적으로 하는 제어용(마이크로프로세서는 연산 ... , 논리 요소뿐 아니라 데이터 저장을 위한 읽기 쓰기 메모리, 코드 저장을 위한 플래시와 같은 읽기 전용 메모리, 계속적인 데이터 저장을 위한 EEPROM, 주변 기기, 입출력 인터페이스 ... 말한다.PC에 쓰이는 일반 목적의 마이크로프로세서와는 반대로 높은 집적, 낮은 전력 소비, 비용 절감, 자동 처리를 강조하는 마이크로프로세서의 일종이다.일반 목적의 마이크로프로세서의 일반 산술
    리포트 | 5페이지 | 1,500원 | 등록일 2016.04.05 | 수정일 2021.08.28
  • 계산기 설계
    산술회로에서는 캐리의 출력을 따로 출력한다산술회로의 블럭도논리회로의 블럭도2×1MUXCinS0ABCinS0ABS1Cin나. ... BXOR111G =NOT=> ALU는 논리연산회로산술연산장치를 결합시켜서 만들 수 있다. ALU에 대한 블록도를 보면 아래와 같다. ... 처음 4가지의 산술연산은 S1=0일 때 선택되고, 논리연산은 S1=1일 때 선택된다.
    리포트 | 6페이지 | 2,000원 | 등록일 2012.05.16
  • [기초전기전자실험] 실험 20 plc제어 실험 보고서 [A+받은 자료]
    .■ PLC 의 정의PLC(Programmable Logic Controller)는 “Process 혹은 Equipment 의 제어를 위한 논리연산, Sequence 제어, 지연, ... 계산 및 산술 연산 등의 제어동작을 시키기 위해, 제어순서를 일련의 명령어 형식으로 기억하는 메모리를 갖고, 이 메모리의 내용에 따라 디지털, 아날로그의 입출력 모듈을 통해 여러가지 ... 접점출력 코일―( )―연산 결과 출력반전 코일―( / )―연산 결과 반전 출력출력 Set―( S )―연산 결과 세트 출력출력 Reset―( R )―연산 결과 리셋 출력상승 검출 출력
    리포트 | 12페이지 | 2,500원 | 등록일 2016.01.03 | 수정일 2016.04.08
  • 컴퓨터 시스템 [컴퓨터의 역사,컴퓨터의 구조(구성요소),컴퓨터의 분류
    (ALU)로 구성되고 저장장소의 역할을 하는 레지스터도 포함된다.- 산술, 논리 연산장치(ALU) : 산술연산논리연산을 수행한다. ... 산술연산은 주로 사칙연산을 수행, 논리연산은 참과 거짓을 판결, AND OR NOT XOR등을 포함한다.- 제어장치(CU) : 모단작업을 통제하고 관리한다. ... 나눌 수 있다.1) 중앙 처리 장치(CPU - Central Processing Unit) : 사람의 두뇌에 해당되며 시스템을 제어하는 제어장치(CU)와 계산의 과정을 담당하는 산술논리연산장치
    리포트 | 7페이지 | 1,000원 | 등록일 2013.03.15
  • 논리회로 첫번째과제
    하는 논리회로의 설계는 매우 어렵기 때문에 1의 보수와 2의 보수가 쓰이는데, 산술연산장치가 이들 수의 체계를 이용하면 설계하기 쉽기 때문이다. ... 1의보수 더하기 1이다.이것을 통해 1의 보수로 2의보수를 구하고, 2의보수로 1의 보수를 구할 수 있다. 1의 보수와 2의 보수로 표현하는 이유 부호와 크기로 표시한 2진수를 가지고 산술연산
    리포트 | 23페이지 | 1,000원 | 등록일 2013.03.30
  • 컴활 2급 필기 기출 오답정리
    연산을 주로 한다.③ 증폭 회로를 사용한다. ... 위해 데이터 비트에 붙여서 보내는 비트전송 속도(bps):Bit Per Second의 약자로 초당 전송되는 바이트 수* 디지털 컴퓨터의 특성① 부호화된 숫자와 문자, 이산 데이터② 산술논리 ... RISC (축소, 간단, 좋음) / CISC (복잡)* 객체지향 프로그래밍 언어JAVA /SmallTalk / C++ ((COBOL X))- C언어, COBOL은 구조적 프로그래밍*산술논리
    시험자료 | 17페이지 | 3,500원 | 등록일 2015.11.02
  • 전자전기컴퓨터설계실험2(전전설2)2주차결과
    Schematic회로의 여러 요소들 간의 내부 연결 상태를 그림으로 표현하여 각종 함수(논리 함수 등)들과 입력 단자들을 시각적으로 확인하여 회로를 구현할 수 있는 기능을 뜻한다.마 ... HBE-ComboⅡ-SEHBE-ComboⅡ-SE 장비란 FPGA를 이용한 디지털 논리회로 설계 실습을 위한 장치로 회로에서 많이 사용되는 Switch, LED와 같은 주변 장치를 위주로 ... Ripple Carry Full Adder우리가 보통 n-bit의 두 2진수를 더할 때 산술적으로 왼쪽에서부터 더해가면서 carry를 더해준다.
    리포트 | 15페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • SOC설계및실습 Mux~ALU Report
    ALU와 Simple porcessor구조산술 논리 연산 장치의 구성은 덧셈을 위한 가산기를 중심으로 연산에 사용되는 데이터와 연산 결과 등을 임시적으로 기억하기 위한 레지스터, 보수를 ... 이와 같이 빼는 수를 보수로 바꾸어 주는 회로가 보수기이다. ... 또 프로그래머가 프로그램을 통해서 직접적으로 기억된 내용을 변경시킬 수 있는 연산용 레지스터가 있는데 이것은 연산 조작을 수행 할 때 연산 장치의 입력 자료와 출력 결과를 일시적으로
    리포트 | 9페이지 | 2,000원 | 등록일 2014.06.20
  • 디지털실험 - 4비트 전감가산기 설계 예비레포트
    설계 이론ALU는 산술 연산회로논리 연산회로로 나누어진다. 산술 연산에는 가산, 감산, 증가, 감소 등의 8가지 기능을 수행한다. ... 논리 회로도3. 시뮬레이션 결과? ... 논리 연산은 선택단자 S1과 S0의 값에 의해 AND, OR, XOR, 보수 등의 기능을 수행한다.※ 전가산기, 전감산기(진리표, 논리식, 회로도)- 전가산기- 전감산기※ 4bit-adder
    리포트 | 4페이지 | 1,000원 | 등록일 2012.03.09
  • IT와 경영정보시스템 과제물
    연산장치(ALU:산술논리장치)중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산논리동작을 담당한다. ... 중앙집적회로)는 컴퓨터 시스템 전체를 제어 관리하며 자료의 연산논리 조작을 수행한다.정보의 기록, 보관, 정보의 가공, 다른 장치의 제어. ... 연산코드는 수행해야 할 연산이 무엇인지를 ALU에게 알려주며, 연산자는 그 연산을 위해 사용된다(예를 들어 두 개의 연산자를 서로 더하거나 논리적으로 비교하라는 연산일수도 있다).
    리포트 | 5페이지 | 5,000원 | 등록일 2014.05.20 | 수정일 2016.07.27
  • 컴퓨터구조/오상엽/기말고사 예상 문제
    논리 연산 회로8. ... CPU에 관하여 기술하시오(구성 및 동작을 중심으로)CPU는 연산창치(ALU)와 제어창치(CU) 그리고 레지스터로 구성되어있고 연산장치는 산술, 논리 연산 등을 주로 하며 제어장치는 ... 변하지 않는다.회로의 구성이 단순하다.ex)4bit 전송 시에는 클럭펄스가 4개가 든다.병렬 전송 방식 - 한거번에 전송한다.
    시험자료 | 3페이지 | 3,000원 | 등록일 2013.07.08
  • ATxmega 패밀리와 기존의 ATmega 패밀리와의 차이점
    그러나, 32개의 범용 레지스터 중에서 마지막의 6개는 각각 2개씩 합해져서 3개의 16배트 레지스터 X, Y, Z로 사용 될 수도 있다.ALU는 산술연산, 논리연산, 비트 처리 등의 ... . +1.6~3.6V의 범위에서 사용할 것.AVCC: 아날로그 회로의 동작 전원. ... 기능을 수행하는데, 대부분의 연산을 1클록 사이클에 처리하며, 강력한 하드웨어 곱셈기를 가지고 있어서 부호있는 정수나 부호없는 정수의 곱셈 연산을 2클록 사이클 이내에 빠르게 수행한다.ATxmega
    리포트 | 17페이지 | 1,000원 | 등록일 2016.05.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:35 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대