• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(23,971)
  • 리포트(20,882)
  • 시험자료(1,210)
  • 자기소개서(948)
  • 방송통신대(583)
  • 논문(178)
  • 서식(153)
  • ppt테마(10)
  • 이력서(3)
  • 기업보고서(2)
  • 노하우(2)

"신호처리" 검색결과 141-160 / 23,971건

  • 미약생체신호, 인체신호전달, 68000의 신호, 디지털신호처리 압축알고리즘, 집적회로 신호전압, 소신호증폭기 주파수, 통신시스템의 신호해석, 라플라스변환과 신호, 수기신호 분석
    미약생체신호, 인체신호전달, 68000의 신호, 디지털신호처리의 압축알고리즘, 컬러TV의 신호전달, 집적회로의 신호전압, 소신호증폭기의 주파수, 통신시스템의 신호해석, 라플라스변환과 ... 동기 버스 제어 신호(E, VPA, VMA)Ⅳ. 디지털신호처리의 압축알고리즘Ⅴ. 컬러TV의 신호전달1. 각국의 컬러 TV 방식1) NTSC2) PAL3) SECAM2. ... 비트를 모두 “0”으로 셋트시켜 그 후에 발생하는 외부의 어떠한 인터럽트 요구도 CPU는 수용하게 된다.2) 오토 벡터(Auto-vectored) 인터럽트“오토 벡터 인터럽트”의 처리
    리포트 | 25페이지 | 7,500원 | 등록일 2013.04.01
  • 멀티미디어 신호처리 특론_음성
    PROTECT YOUR VALUT !Ⅰ. ObjectiveYou have a vault which contains your precious treasures. The vault can only be unlocked with your voice. Its security ..
    리포트 | 15페이지 | 1,000원 | 등록일 2011.07.09
  • 멀티미디어 신호처리 특론_영상
    그래서 복호기에서는 허프만 부호화 방식을 통해 부호화된 이진 신호를 주어진 허프만 테이블을 가지고 복호해야 한다. ... 예를 들어, 압축처리를 하고자 하는 화면 내 어떤 범위에 있는 여러 개의 화소를 모아서 화소블록을 형성하고, 이 화소블록을 쉽게 압축할 수 있도록 하기 위해 discrete cosine ... 먼저 size를 계산하여 접두 코드를 만들고, DCT 계수가 양수인지 음수인지 판단하여 2진수로 변환한 다음 그에 해당하는 MSB처리를 해주어 각 DCT계수마다 유일한 코드가 할당될
    리포트 | 38페이지 | 1,000원 | 등록일 2011.07.09
  • 사운드 신호의 분류에 대해 설명하시고, 아날로그 신호를 디지털 신호처리 변조 과정인 표본화(Sampling), 양자화(Quantization), 부호화(Encoding)에 대해 설명하시오
    사운드 신호의 분류2. 아날로그 신호를 디지털 신호처리 변조 과정인 표본화(Sampling), 양자화(Quantization), 부호화에 대한 설명Ⅲ. 결론Ⅳ. ... 사운드 신호의 분류에 대해 설명하시고, 아날로그 신호를 디지털 신호처리 변조 과정인 표본화(Sampling), 양자화(Quantization), 부호화(Encoding)에 대해 ... 참고자료사운드 신호의 분류에 대해 설명하시고, 아날로그 신호를 디지털 신호처리 변조 과정인 표본화(Sampling), 양자화(Quantization), 부호화(Encoding)에
    리포트 | 4페이지 | 4,000원 | 등록일 2017.02.11
  • 반전 증폭기 - 계측 및 신호처리
    계측 및 신호처리 보고서반전증폭기실험날짜 2012. 4. 17.대학교과교수님반 조학번 이름1. 실험목적 및 의의연산증폭기와 NI ELVIS, 브레드보드를 이해한다. ... 처리에 악영향을 미칠 수가 있다. ... )⇒입력신호와 출력신호 사이의 차이점 :출력신호 : oppositive form(inverted), 180° out-of-phase③ 출력 peak-to-peak 전압을 확인한다.5
    리포트 | 8페이지 | 1,500원 | 등록일 2013.04.17
  • 디지털 신호처리 레포트 7장 연습문제
    교과목 : 디지털 신호처리보 고 서과제명 : 7장 연습문제과 목 : 디지털 신호처리담당교수 : 홍길동 교수님제 출 일 : 2009년 5월 15일성 명 : 홍 길 동학 번 : 200000077.1 ... 중첩과 시간-지연 특성들을 사용하여 다음 신호의 z-변환을 구하시오.7.2 식(7.3.1)과 (7.3.4)의 중첩과 시간-지연 특성들을 사용하여 다음 식의 z-변환 Y(z)를 X(
    리포트 | 6페이지 | 1,000원 | 등록일 2013.03.30
  • 영상신호처리, HW#2, DFT 및 FFT 영상변환
    화영상신호처리 과제#2(DFT 및 FFT 영상변환)DFT 및 FFT 영상변환(입력영상: moonN.256 )(1) 영상의 DFT를 계산한다.F`(u, v) & = & 1 over N ... 과정을 FFT 알고리즘으로 구현한다.(1D 및 2D FFT 는 “ffp.cpp" 참조)즉, 2D-DFT = 1D-ROW-FFT + 1D_COLUMN-FFT(9) DFT와 FFT에서의 처리시간을 ... BYTE **img2,int width, int height, int cx, int cy);int w, h, x0, y0;BYTE **img1, **img2;// 실수부와 허수부 처리double
    리포트 | 19페이지 | 1,500원 | 등록일 2015.11.09 | 수정일 2016.09.01
  • 의용생체 신호처리 ECG검출기 matlab 코드 및 레포트 (프로젝트)
    의공학, 의용생체 신호처리 에 대한 과목 프로젝트 레포트 입니다.ECG에 대한 이론적인 내용 정리 와, ECG 신호를 txt 파일로 받았을 때 ECG를 분석해주는 matlab 프로그램
    리포트 | 9,000원 | 등록일 2015.11.03 | 수정일 2022.03.28
  • 신호처리방법 정리
    ⒜ AM: 고주파 전류의 진폭을 변화시킴으로서 신호를 전달함⒝ FM: 고주파 전류의 주파수를 변화시킴으로서 신호를 전달함⒞ PM: 고주파 전류의 위상을 변화시킴으로서 신호를 전달함② ... Pulse의 진폭을 변화시킴으로서 신호를 전달함⒝ PWM: Pulse의 폭을 변화시킴으로서 신호를 전달함⒞ PPM: Pulse의 위치를 변화시킴으로서 신호를 전달함④ Digital-to-Digital ... Source Coding1) 정의: 디지털 통신에서 아날로그 신호를 효율적인 디지털 신호로 변화시키기 위해 Quantization과 Sampling 간격, 압축 등을 사용하는 방법2
    리포트 | 3페이지 | 1,000원 | 등록일 2008.08.20 | 수정일 2022.02.09
  • 신호처리 텀과제
    이번 디지털신호처리 텀 과제는 음원 신호를 만들고, 만들어진 이 음원 신호를 자연스러운 실제 음으로 만들기 위해 중첩과 같은 작업을 거친다. ... 느낌의 소리를 만들어내는데 어려움이 많았는데, 마무리를 지으면서 생각하기로 진짜 베토벤 제 5번 교향곡 4 마디를 8khz 로 샘플링해서 직접 만든 4 마디의 시그날(signal) 신호
    리포트 | 17페이지 | 3,000원 | 등록일 2008.07.16
  • Markov 신호처리 모델을 응용한 청각재활 프로그램 만족도에 관한 연구 (Application of Markov process on the outcomes of auditory rehabilitation programs)
    한국청각언어재활학회 임덕환
    논문 | 5페이지 | 1,500원 | 등록일 2015.03.25 | 수정일 2017.02.01
  • [영상신호처리] HDTV에 대한 조사
    HDTV 발전역사 : 미국은 HDTV를 지상파 방송에 도입할 목적으로 1987년부터 ATV방식의 규격화에 대해 검토해왔다. 1993년 여러 방식들 중에서 네 가지 방식의 규격을 통일한 GA규격에 기본적으로 합의하였다. 미국이 그 동안 개발한 디지털 HDTV 방식은 Di..
    리포트 | 2페이지 | 2,000원 | 등록일 2011.01.16
  • 신호처리과정 - 2
    PAM 신호7. ... 신호 파형의 디지털 표현 (2)6. ... 디지털 데이터 화 한 후 , 이 신호를 송신과정을 거쳐 전송하고 , 수신단에서 는 송신의 역과정을 거쳐 정보 신호를 재생하게 된다 .
    리포트 | 43페이지 | 2,500원 | 등록일 2009.10.09
  • dsp 디지털신호처리 프로젝트 (Sampling, FIR LPF, BPF 설계)
    두 번째 신호를 걸러내고 첫 번째 신호를 나타내기 위해 적절한 spec을 설정하여 LPF를 설계하였다. ... 프로젝트 주제 지능형 순항제어 시스템(ACC)에서의 레이더신호 이용 차량 속도 및 거리 측정2. ... 수신신호를 표본 주파수가 100 kHz 인 ADC를 통과시켜 DSP 프로세서에서 다음과 같은 내용으로 분석하고자 한다.
    리포트 | 9페이지 | 6,000원 | 등록일 2014.05.03 | 수정일 2015.08.14
  • MATLAB을 이용한 디지털 영상신호처리
    calculator 영상에서 문자를 제외한 배경을 제거하고 최대한 문자만 깨끗하게 추출하는 알고리즘 개발.clear all; clc; close all;im = imread(`Fig0930(a)(calculator).tif`); %Image Readingfigure(1..
    리포트 | 7페이지 | 1,500원 | 등록일 2010.10.19
  • [홍익대학교] 계측 및 신호처리 - 신호 분석과 전기량 측정
    신호 분석과 전기량 측정1. ... 실험목적신호 분석에 관한 개념과 이론을 숙지하고, 측정 장치(멀티테스터(Multi-meter), 오실로스코프(oscilloscope), 함수발생기(Function generator) ... 음극석관 화면은 밑의 사진처럼 녹색으로 되어 있는데 이 녹색화면의 녹색의 선이 그래프로 표시되어 입력파형의 연속적인 변화를 함수로 보여줌으로써 시간의 변화에 따른 신호 크기의 변화를
    리포트 | 17페이지 | 2,000원 | 등록일 2013.02.26
  • DSP 디지털 신호처리 FFT
    Homework (Matlab#3) Due day : Dec. 10In Matlab command, load the data file KTXSignal`. Check the data variable. The sampling rate of the signal is 10..
    리포트 | 4페이지 | 2,500원 | 등록일 2009.06.21
  • MATLAB에 의한 ANALOG 신호처리
    MATLAB에서 신호의 그래프를 나타내기 위해서는 다음과 같이 처리하면 된다.plot(t,st);2 중요한 신호 생성용 함수analog 신호처리에 사용되는 중요한 신호를 생성하기 위해서는 ... MATLAB에 의한 ANALOG 신호처리1. analog 신호의 표시 방법MATLAB 은 모든 변수를 벡터 혹은 마트릭스 로 표시한다. ... 예를 들어 구간 [-4,4] 사이의 주파수 1[Hz]의 정현파 신호를 나타내기 위해서는 다음과 같이 처리하면 된다.t=-4:0.001:4;st=cos(2*pi*t);이 경우 시간 증분값은
    리포트 | 12페이지 | 2,500원 | 등록일 2009.05.31 | 수정일 2020.06.29
  • 멀티미디어 신호처리 특론_의료영상
    BioMedical Image ProcessingTask1. Data Generation.: Given a tomographic image, you will generate projection data (sinogram) according to the medical i..
    리포트 | 38페이지 | 1,000원 | 등록일 2011.07.09
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:55 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대