• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(23,970)
  • 리포트(20,881)
  • 시험자료(1,210)
  • 자기소개서(948)
  • 방송통신대(583)
  • 논문(178)
  • 서식(153)
  • ppt테마(10)
  • 이력서(3)
  • 기업보고서(2)
  • 노하우(2)

"신호처리" 검색결과 161-180 / 23,970건

  • 영상신호처리(sampling)
    영상신호처리● Sampling 실험영상신호처리1. 원본 사진 1024 * 1024 의 그림2-1.
    리포트 | 8페이지 | 1,000원 | 등록일 2009.05.05
  • 정보통신 신호처리 발표자료 입니다.
    기술의 덕택으로 주변잡음의 방해를 받지 않고 원하는 신호를 직접 전달 함으로서 난청의 문제를 극복신호처리*FM보청기http://www.icanhear.net/신호처리*디지털 라디오 ... 초단파방송 혼신, 잡음 등이 적고 음질이 좋으나 도달거리가 짧고, 송신기와 수신기의 구조가 복잡신호처리*AM과 FM의 차이신호처리*AM의 이용분야 (잠수함)잠수함에서의 수신은 함교 ... 초창기 한국 전자산업의 초석을 만들었던 라디오가 DAB로 새롭게 부상하게 되는 것이다신호처리*의문점조사하면서 가진 의문들??신호처리*AM방송과 표준 FM은 같은 방송이다?!
    리포트 | 29페이지 | 2,000원 | 등록일 2011.06.30 | 수정일 2013.11.29
  • filter를 이용한 신호처리
    [but_a but_b]=butter(4, [0.006 0.2]);를 하여 filter 처리한 wav 파일을 들어보면 원음과비교하였음때보다 부드러운 면이 사라졌음을 알수 있다. ... 까지의 주파수를 pass시켜준다.%2번째 filter는 LPF로써 0.04 이상을 차단하는 역할을 한다.%3번째 filter는 bandstop filter로 0.001~0.5의 신호
    리포트 | 10페이지 | 1,000원 | 등록일 2008.01.02
  • [홍익대학교] 계측 및 신호처리 압력측정
    압 력 측 정1. 실험목적부르돈관 압력계(Bourdon gauge)와 스트레인 게이지형 압력변환기(pressure transducer)의 작동 원리를 이해하여 냉매113의 온도 변화에 따른 포화증기압을 측정, 비교, 분석한다.2. 실험이론2.1 압력의 개념 및 그 단위..
    리포트 | 13페이지 | 2,000원 | 등록일 2013.02.26
  • [기계공학실험]신호처리실험
    신호처리의 이유, 목적2-1. 신호처리의 이유, 목적a. ... 신호처리의 기본 개념…… p. 42. 신호처리의 이유, 목적…… p. 52-1.신호처리의 이유, 목적…… p. 52-2.아날로그 시스템과 디지털 시스템의 비교…… p. 63. ... 정보추출관측 신호에 포함되어 있는 정보를 추출하거나 혹은 전처리에서 신호처리하기 편리한 형태로 변환하는 것도 신호 처리의 주된 목적이다.
    리포트 | 15페이지 | 1,000원 | 등록일 2010.06.07
  • 디지털 음성신호처리와 무선통신과의 관계
    디지털 신호처리는 아날로그 신호처리에 비해 정확하면서도 신호의 왜곡이나 손실을 방지할 수 있는데, 이러한 DSP 칩을 사용하면 디지털 신호처리하는 컴퓨터도 인간의 목소리와 같은 ... 무선통신공학 (디지털 음성신호처리와 무선통신과의 관계)200801784DSP(Digital Signal Processing) - 디지털 신호처리아날로그 신호정보를 디지털 신호로 변환하여 ... 아날로그 신호는 외부 잡음, 처리속도, 대역폭 제한 등 아날로그 회로를 이용해 신호처리를 하기에는 여러 가지 문제점이 있는데, 이런 한계를 극복하기 위해 등장한 것이 DSP기술을 응용한
    리포트 | 2페이지 | 1,000원 | 등록일 2011.03.27
  • dsp디지털신호처리 frequency sampling method 샘플링주파수기법
    % N=25, M=12 fs = 11025; % Sampling frequency %Hk at Wk=2(pi*k)/(2M +1)H = [0 0 0 0 0.5 1 1 1 0.5 0 0 0 0]; % Ma..
    리포트 | 4페이지 | 1,000원 | 등록일 2014.12.18 | 수정일 2015.05.08
  • 인하대학교 디지털신호처리설계 project (Matlab) Notch /Low pass /High pass filter 제작
    각 필터의 잡음처리를 ideal/ gausian/ butter worth 하게 처리할 수 있고, freq/ spatial domain에서 처리할 수 있게 선택하여 총 6가지의 경우의 ... 또한 잘못된 값을 함수에서 입력했을 경우 이에 대한 메시지를 출력하게 하였다.어느 사진에도 general하게 동작하기 위하여 사진을 받아오고 그 사진에서 정보를 얻어 처리하였다.
    리포트 | 31페이지 | 4,000원 | 등록일 2017.01.06 | 수정일 2022.12.11
  • [신호처리]신호처리(잡음제거)
    fc=100; wc=2*pi*fc;dt=1/(fc*120); factor=10; t=0:dt:factor/fc; s=0.5*sin(wc*t).*(1-cos(1/factor*wc*t));nfft=2048*8;snr=-10;S=fft(s,nfft);df=1/(nfft*dt..
    리포트 | 1,000원 | 등록일 2005.12.21
  • 디지털신호처리(MATLAB의 사용)
    ToolBox 란 신호 처리 , 통계학 , 영상 처리 , 제어 , fuzzy logic, 재정 등 여러 분야에 대한 적용 가능한 도구를 제공한다 . ... 디지털신호처리 MATLAB 의 사용초기 MATLAB 은 Cleve Moler 에 의해 Fortran 으로 작성 현재는 미국의 MathWork 사에 의해 C++ 로 작성 Matlab ... end % disp 대신 sprintf 로 변경한 결과Flow Control C 언어에서와 동일한 break, continue, return 명령어 지원 try, catch : 예외 처리
    리포트 | 38페이지 | 2,000원 | 등록일 2008.10.18
  • 충격량 측정 및 원리 - 계측 및 신호처리
    계측 및 신호처리 보고서충격량 측정 및 원리실험날짜 2012. 3. 20.대학교과교수님반 조학번 이름1. ... 로드셀① 로드셀이 무게를 받으면 압축되거나 늘어나는 등의 변형이 일어나는데, 이 변형량을 변형 측정 장치가 전기신호로 검출한 뒤 컴퓨터 장치에 의해 디지털 신호로 바꾸면 무게가 숫자로 ... 로드셀은 상기 원리로 탄성체의 스트레인을 얻으며 그 스트레인에 상당하는 저항변화로 출력신호를 얻어낸다.③ 로드셀 감지부 구조 설계의 고려사항은 다음과 같다.- 힘을 가했을 때, 인장변형률과
    리포트 | 9페이지 | 1,500원 | 등록일 2013.03.27
  • 디지털신호처리(DSP/Digital Signal Processing) IIR필터설계(MATLAB구현)
    DSP IIR필터설계 MATLAB코드자료입력신호는 u[n]이고, causal하면서 stable한 y[n]을 출력하기 위해 pole, zero의 위치를 출력하는 코드입니다.
    리포트 | 3페이지 | 2,000원 | 등록일 2014.07.14
  • 신호처리 시스템 3장 연습문제
    신호처리 시스템 3장 연습문제 풀이내용입니다. 참고하세요
    리포트 | 11페이지 | 1,000원 | 등록일 2010.09.29
  • 신호처리 최종보고서00
    디지털 신호처리 : 샘플된 신호와 데이터들의 수치적인 처리- 신호를 디지털 형태로 표현- 디지털 신호의 해석, 정보 추출, 특징 분석, 조작? ... 디지털 신호처리의 구현- 일반적인 디지털 하드웨어- 범용 컴퓨터 또는 디지털 신호처리 전용 프로세서(하드웨어의 변경 없이 여러 가지 함수를 구현하며 재프로그램이 가능)? ... 이산신호(discrete time signal) : 샘플링 순간에서 정의되는 신호- 샘플링된 데이터 신호 : 임의의 진폭- 디지털 신호 : 양자화된 진폭 (컴퓨터에서 처리되는 신호)
    리포트 | 7페이지 | 4,300원 | 등록일 2009.11.01
  • 신호처리 시스템 4장 연습문제
    신호처리 시스템 4장 연습문제 풀이내용입니다.
    리포트 | 10페이지 | 1,000원 | 등록일 2010.09.29
  • 신호처리 시스템 11장 연습문제
    신호처리 시스템 11장 연습문제 풀이내용입니다.
    리포트 | 13페이지 | 1,000원 | 등록일 2010.09.29
  • 신호처리 시스템 6장 연습문제
    신호처리 시스템 6장 연습문제 풀이내용입니다.
    리포트 | 12페이지 | 1,000원 | 등록일 2010.09.29
  • [결과보고서]광 신호 및 초음파 신호 처리 실험
    실험 제목- 광 신호 및 초음파 신호 처리 실험2. ... 관련 이론- 광 신호 처리1) 포토다이오드 및 포토트랜지스터포토다이오드는 P형 반도체와 N형 반도체를 접합하면 P형의 정공이 N형으로 확산되어 들어가고 N형의 전자가 P형으로 확산한다 ... 원칙적으로 1개의 소자로 초음파의 송신과 수신의 기능을 겸하는 것이 가능하며, 신호처리의 용이성이나 변환 효율을 고려하여 송신과 수신을 각각의 전용소자로 하는 경우도 많다.
    리포트 | 15페이지 | 1,000원 | 등록일 2010.05.23
  • [홍익대학교] 계측 및 신호처리 - 미분적분기
    특성초기의 OP amp는 300v 정도의 높은 전압에서 사용하였지만 최근의 OP amp는 ic로 꾸며져서 30V 이하의 낮은 전압에서도 사용되며 저렴한 가격, 사용의 다양화 등으로 인해 신호처리 ... : 1 volt peak-to-s)입력신호와 출력신호사이의 차이점 ? ... 설정한다.입력신호: 1volt peak-to-peak, triangle-wave 400 Hz(2 complete cycles)입력신호와 출력신호사이의 차이점 ?
    리포트 | 15페이지 | 2,000원 | 등록일 2013.02.26
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:03 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대