• 통큰쿠폰이벤트-통합
  • 통합검색(20,675)
  • 리포트(18,524)
  • 시험자료(910)
  • 방송통신대(528)
  • 자기소개서(301)
  • 서식(268)
  • 논문(93)
  • ppt테마(30)
  • 이력서(15)
  • 노하우(6)

"코드2.0" 검색결과 141-160 / 20,675건

  • 운영체제 TFTP를 이용한 파일 송,수신
    code, 그림등을 이용하여 기슬)xinetd tftpd tftp를 설치한 후 root권한으로 로그인을 하였습니다.그다음 /etc/xinetd.d/tftp 파일을 생성하여 보기와 ... 과목명학과과제명: TFTP를 이용한 파일 송,수신1, 과제설명 ( 사용자 요구사항 기술: 과제에 대한 설명 및 목표 )2, 사용자 요구사항을 정형적 방법으로 기술 (UML, Pseudo ... reloadsudo /etc/init.d/xinetd restart파일을 생성하였으니 파일을 reload하고 xinetd데몬을 restart하였습니다.ifconfigifconfig eth0
    리포트 | 5페이지 | 2,000원 | 등록일 2021.11.01
  • C언어정리 첫번째
    65;printf("%d %d %d",code, code+1 code+2); //65,66,67 출력된다.printf("%c %c %c",code, code+1 code+2); // ... ●정수형으로서의 char자료형설명바이트범위문자형부호ochar문자 및 정수1(8)-128~127부호xunsigned char문자 및 부호 없는 정수1(8)0~255▷char code= ... ▷#include #define TAX_RATE 0.2 //defineㅤㅜㄷ을 이용한 기호 상수 정의int main(void){const int MONTHS =12;int m_salary
    리포트 | 33페이지 | 1,000원 | 등록일 2021.01.02
  • 통신이론설계 실습과제 6주차입니다
    마찬가지로 sine wave이므로 위상을 pi/2만큼 지연시켰고, 샘플시간은 0.0001로 입력했다.세 번째 신호는 복조를 위한 신호로써, 2cos(400*2pi*t)이므로 진폭만 ... HW #6학과정보통신공학과제출일자2022.11.7학번Name분반교수명• poblem(예제 3번 포함)• Solutions(Source code and Simulation results ... -과제 Source code9주차 과제는 데이터가 mat파일로 주어졌으므로 강의노트 방법에 따라 mat 파일을 load 하여 외부입력데이터를 작업공간으로 불러왔다.그리고 ModelConfiguration
    시험자료 | 9페이지 | 1,500원 | 등록일 2023.03.18
  • SoC 보고서 - 1.동기통신(PS2)
    먼저 data 신호가 LOW가 되고 clk를 전송한다. 그래서 순차적으로 start bit부터 시작한다. data는 SCAN한 code를 사용한다. data는 LSB먼저 보낸다. ... 소스코드코드 설명 -------------------------- p.6A) ps2_keyboardB) ps2_receiverC) tb_ps2 (test bench)3. ... 이상이 없다면 해당데이터를 그대로 수신해서 사용한다.소스코드코드 설명ps2_keyboardps2_receivertb_ps2 (test bench)시뮬레이션 결과 및 설명ps2_
    리포트 | 22페이지 | 2,500원 | 등록일 2021.09.23
  • 아날로그및디지털회로설계실습 논리함수와게이트
    만들고, 2x4 회로도를 설계한다.디코더(decoder): n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 논리 회로. ... 생각하고, 그 단계적 방법을 구체적으로 서술한다.V2의 DC sweep값을 0부터 5까지 0.1V씩 증가시켰다.시뮬레이션을 통해 보았을 때는 1V에서부터 NAND게이트의 출력이 5V로 ... 3-3 2x4 디코더의 설계 및 특성 분석(A) 각 게이트들을 사용하여 만든 2x4 Thermometer to binary 디코더의 기능에 대해 설명하고, 그 정의에 따라 진리표를
    리포트 | 5페이지 | 1,000원 | 등록일 2021.12.15
  • 아주대학교 논리회로실험 / 5번 실험 Decoder & Dencoder 예비보고서
    BCDBCD란, Binary-coded decimal의 약자로, 2진수 네 자리를 사용하는 코드이다. 다만 십진법으로 0부터 9까지에 해당되는 코드만 사용한다. ... Excess-3 (3초과) 코드BCD 코드에 십진수 3을 더하여 그 값을 BCD의 십진법에 대응하는 코드다. 십진법으로 0은 BCD로 나타내면 0000이다. ... {A _{2}}} A _{1} A _{0},D _{4} =A _{2} {bar{A _{1}}} {bar{A _{0}}},D _{5} =A _{2} {bar{A _{1}}} A _{0
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • SSML과 TTS를 이용하여 오디오북 만들기 - 파이썬과 구글API 이용
    = tts.SynthesisInput(ssml=ssml_text)voice = tts.VoiceSelectionParams(language_code=self.language, name ... 코드에서는 텍스트를 읽어와 SSML로 변환한 뒤 wav 파일로 저장하는 예시를 보여주고 있습니다.코드 실행 후, output.wav파일을 실행하면 짧은 예제이고 아주 복잡한 감정변화까지는 ... 변환합니다.slow_voice: 느린 속도의 음성으로 변환합니다.break_1s: 두 개의 연속된 빈 줄을 1초의 일시적인 지연()으로 변환합니다.break_500ms: 하나의 빈 줄을 0.5초의
    리포트 | 4페이지 | 2,500원 | 등록일 2023.08.04
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    (숫자나 문자등의 키보드 입력을 2진코드로 부호화한다.)0~9까지의 10진수를 bcd부호 또는 2진수로 변환한다. ... BCD코드를 구성하는 수는 그 위치에 따라서 가중치를 갖는다. (1001)BCD는 (1*8)+(0*4)+(0*2)+(1*1)로 표시된다. ... 전자 회로의 내부적인 수치를 보여 주는 데 자주 사용된다.(4) BCD (binary_coded decimal)BCD는 Binary-Coded Decimal을 줄인 말로 단어에서
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 인하대 컴퓨터구조론 과제 mips pipeline 설계
    명령어들을 다음과 같이 분석하였다. 16진수의 명령어들을 2진수로 변환 후, bit 수 별로 구분하여 Opcode, rs, rt, rd, sa, function code, immediate ... 즉, main control에서 나온 ALUOp 코드와 FuncCode를 바탕으로 ALU 연산 동작을 결정한다. ... 같으면 Zero에 1을 할당하고, 다르면 0을 할당한다.2.
    리포트 | 10페이지 | 2,000원 | 등록일 2021.04.01
  • 기말 미디어2 사회변화와미디어트렌드 알고리즘의 개념을 심화해서 제시하고 긍정적인 영향과 부정적인 영향을 예
    의사코드(擬似, pseudo code)는 프로그램의 작성을 위해 일반어로 코드를 흉내 내어 써놓은 것이다. ... 이 조건은 외부 자료가 0개 이상 존재해야 하는 입력성과 최소 2개 이상의 결과가 도출되어야 하는 출력성, 어떤 것을 수행해야 하는지 정확한 명령어를 입력해야 하는 명확성, 알고리즘의 ... 즉 정보를 어떻게 입력하고 처리하며 데이터를 표시하는지를 나타내는 순서도이다.(2) 알고리즘의 기본 구조알고리즘은 ‘논리(logic)’와 ‘제어(control)’를 통해 이루어진다.
    방송통신대 | 7페이지 | 3,300원 | 등록일 2024.04.30
  • 단 3개의 데이터만 가지고 모델 추정하기 (베이지안 추정, Python source code 예제 포함)
    단 3개의 데이터만 가지고 모델 추정하기 (베이지안 추정, Python source code 예제 포함)예를 들어, y = a * x + b * sin(x)로 알려진 기존의 예측 모델이 ... y_pred_mean + y_pred_std, color='green', alpha=0.2, label='±1 STD')""" # Annotate uncertainty valuesfor ... 기존 제품은 a, b가 0.5, 0.2라고 가정하고 새로운 제품은 또 다른 값을 가진다고 가정하겠습니다.
    리포트 | 8페이지 | 2,500원 | 등록일 2023.08.15
  • 운영체제 커널 모듈 프로그래밍에서의 타이머 모듈 구현
    , Pseudo code, 그림등을 이용하여 기슬)동적 타이머 혹은 커널 타이머로도 불리는 타이머는, 커널에서 시간의 흐름을 관리하는데 있어서 필수 불가결한 요소이다.커널코드는 종종 ... , 0 );그 다음에는 타이머의 내부 값을 초기화해야 한다. ... 소스코드 설명 ( 직접 작성한 소스코드중에 핵심 부분을 발췌하여 설명 )static struct timer_list my_timer;타이머를 생성하기 위한 첫 번째 과정은 타이머를
    리포트 | 5페이지 | 2,000원 | 등록일 2021.11.01
  • 경희대 소프트웨어적사유(소웨사) 기말고사 정리, 족보
    구분자 필요③ 코드의 길이가 가변적이면서, 구분자 없이 코드를 구분해 낼 수 있는 방법 필요- 가변길이 코드(variable-length code), 전치 코드(prefix code ... 이진수의 표현 : bkbk-1bk-2…b1b0, (bi=0 또는 1, i=0,…,k-1) ⇒ bkⅩ2k+bk-1Ⅹ2k-1+bk-2Ⅹ2k-2+…+b1Ⅹ21+b0Ⅹ20Ex) 1110 = ... 십진수 a를 이진수 bkbk-1bk-2…b1b0로 변환 :① 몫 14/2=7, 나머지 0 = b0 ② 목 7/2=3, 나머지 1 = b1 ③ 목 3/2=1, 나머지 1 = b2 ④
    시험자료 | 11페이지 | 4,000원 | 등록일 2019.12.25
  • 브이심 Carl Shapiro documentation+GRQ A+
    물어봅니다.호흡중지가 되면 CPR을 시작하며 code team을 호출하도록 합니다.4. ... 주기 전에 환자 곁에서 모두 떨어지라고 하였습니다.코드 팀이 올 때까지 30-2 비율로 심폐 소생술을 계속했습니다.R: 코드팀이 신속히 도착하며 대상자에게 도움을 주도록 합니다.7 ... 유지를 위해 4L/분으로 산소를 공급 받고 있습니다.두번째 니트로글리세린 용량 및 니트로글리세린 패치 0.4mg 에 따라 흉통이 마지막으로 “0”으로 평가되었습니다.A: 처음에 활력징후를
    리포트 | 3페이지 | 1,500원 | 등록일 2021.04.05 | 수정일 2021.04.15
  • 워드 카운팅 과제 작성 내용
    하고 single gram역시 실시하고 union이라는 함수를 이용해서 합친다.위는 single gram과 bigram을 고려한 word count를 출력하는 code이다.import ... : -c)print '\n'.join(map(lambda (w, c): '{0}: {1}'.format(w, c), top38wc))*출력 화면 예시the : 27842and : 12984i ... , (am, a), (a boy), (i), (am), (a), (boy)] 형태로 분해하여 wordcount.위 처럼 single gram, bigram나눠서 실시했다.전체적인 코드
    리포트 | 4페이지 | 2,500원 | 등록일 2021.09.20
  • 결과보고서4_디지털통신2_Block Coding and Decoding
    즉 block coding 전과 decoding후가 일치하므로 decoding 과정이 온전히 이루어졌음을 알 수 있다.[0 1 0 0 | 1 0 0] Coding & Decoding발생되는 ... 블록 코딩을 하면p1 = m1 xor m2 xor m3 = 1, p2= m2 xor m3 xor m4 = 0, p3 = m1 xor m2 xor m4 = 1이 되다.첫 번째 사진의 ... 블록 코딩을 하면p1 = m1 xor m2 xor m3 xor m4 = 0으로 parity bit는 0이 된다.
    리포트 | 20페이지 | 2,000원 | 등록일 2021.09.23
  • 고려대학교 객체지향프로그래밍 A+ 기말고사 치팅시트
    gradient descent: (1) initialize parameters: w(0)=w0, b(0)=bo, (2) update each parameters, (3) repeat ... of machine code.Programs in high level languages are converted to machine code by compilers and/or interpreters ... codeMachine code: binary numbers that codes instructions and arguments, Assembly language: symbolization
    리포트 | 2페이지 | 2,000원 | 등록일 2023.07.02
  • 중국동방항공 기업분석
    중국동방항공목차-회사소개 : CI소개, 개요 -동방항공에 대하여 : 국제선 한*중노선 탑승 현황 Two letter code / Three letter code -항공기 소개 -채용과정 ... 한-중노선 탑승 현황동방항공Two letter code / Three letter codeIATA (International Air Transport Association:국제항공운송협회 ... (홍교)1명2명2명인천-연대1명1명3명인천-남경0명2명3명인청-청도1명1명3명인천-곤명1명2명2명부산-상해1명2명2명대구-상해1명2명2명무안-상해1명2명2명인천-염성(전세기)0명2명3명인천-계림1명2명2명인천-장사1명2명6명국제선
    리포트 | 29페이지 | 2,500원 | 등록일 2022.01.27
  • 논리회로설계실험 BCD가산기 레포트
    관련 기술 및 이론1) BCD (binary-coded decimal)BCD는 십진수를 이진코드로 표기한 것이기 때문에 2진화 10진법이라고도 불리며, 2진수 네 자리를 묶어 10진수 ... 만약 0000001일 경우에는 a,b,c,d,e,f가 켜진 형태로 0의 모양을 출력하게 되는 것이다.(2) 설계 방법1) 구조적 모델링을 이용하여 BCD 가산기를 설계한다.1-1) ... 따라서 BCD로 나타낼 수 있는 범위는 10진수 한 자리인0000 _{(2)} (=0) ~1001 _{(2)} (=9)이며,1010 _{(2)} (=10) ~1111 _{(2)} (
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서9
    BCD code는 쉽게 말해 2진수로 생각할 수 있으며 클럭이 주어 질 때마다 값이 0에서 9까지 숫자로 바뀌 며 순환함을 알 수 있다.? ... 위의 실험은 7-segment를 동작시키기 위하여 데이터를 BCD code로 바꾸는 것이다.? ... 7-segment에 BCD 코드가 입력됨으로서 클럭이 들어 갈 때 마다 세그먼트에 불이 들어오며 숫자를 나타 내었다.?
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대