• 통큰쿠폰이벤트-통합
  • 통합검색(384)
  • 리포트(333)
  • 시험자료(35)
  • 방송통신대(13)
  • 논문(2)
  • 자기소개서(1)

"16비트 ALU" 검색결과 141-160 / 384건

  • 계산기 설계
    채워서 데이터 처리장치에서 사용되는 부호 없는 16비트 오퍼랜드를 만든다.어드레스명명령어 메모리명령어PC0채움확장DABAAAFSRWBranchControlVCNZPLJBBC명령어 ... 그리고 함수 선택을 위하여 FS로 표시된 3bit 한 셋의 선택 입력이 있는데, 이는 ALU에서 8개의 명령어중 선택하는 역할을 한다.레지스터 파일1)함수장치2)FSVNCZB 어드레스D ... C, V, N, Z 는 각각 ALU 연산에서의 결과로부터 얻는 정보인데, 각각 캐리와 오버플로, 부호상태비트, 연산결과가 0인지 아닌지를 판별하는데, 이는 산술연산회로에서 연산을 하는데
    리포트 | 6페이지 | 2,000원 | 등록일 2012.05.16
  • VHDL 8비트 CPU설계
    CPU의 내부 또는 외부와 데이터나 제어신호 등을 주고받을 수 있는 통로를 버스(bus)라고 하는데 동시에 옮겨 갈 수 있는 비트 수에 따라 8bit, 16bit, 32bit, 64bit ... 연구제목8비트 CUP 설계2. 목적지금까지 배운 것을 기반으로 하여 8비트 CUP설계를 하여 구현을 해본다.3. ... 본론 내용ⅰ) CUP의 기본구성 및 동작원리ⅱ) 디코더 설계ⅲ) 레지스터 설계ⅳ) MUX 설계ⅴ) 가산기 설계ⅵ) ALU 설계ⅶ) 시프트 설계ⅷ) 종합적인 CUP설계4.
    리포트 | 29페이지 | 10,000원 | 등록일 2012.12.18 | 수정일 2019.05.30
  • Multi Cycle MIPS 프로세서 설계
    u17 (result[17], c[17], in_a[17], in_b[17], c[16], 1'b0, ainvert, binvert, operation);alu_unit u18 ( ... Verilog HDL 언어의 습득- Quartus II Tool 의 사용법 습득- FPGA 환경에서의 디지털 로직 설계 개념 이해 및 설계된 로직의 합성 과 검증 과정 확인- 범용 32 비트 ... 이므로 무조건 0이 나옴reg_32bit reg1(d01, write_data, clk, out_we[1], ext_rst);reg_// instruction write enable
    리포트 | 32페이지 | 3,000원 | 등록일 2006.10.29
  • AVR 개괄 및 이해
    ATMEL의 8051 계열은 단시간 내에 최소의 비용으로 개발하는 데매우 적합하다. [8-bit ALU, Two 16-bit, Timer/Counters, 32 Programmable ... High-Performance 16/32bit RISC. ... Low CostDevelopment]▶ PIC마이크로칩은 고유의 독자적인 아키텍처를 기반으로 PIC라는 이름으로 판매되는 광범위한 8-bit 제품이다(8-bit PIC Microcontroller
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.03
  • 컴퓨터 구조 및 설계 [4판_ARM버전]_4장 요약
    ALU는 레지스터 파일에서 읽어들인 값들에 대해 뺄셈을 한다. 명령어의 하위 16 비트(offset)를 부호확장한 후 2 비트 왼쪽 자리이동한 값에다 PC + 4 값을 더한다. ... ALU는 레지스터 파일에서 읽어들인 값과 명령어의 하위 16비트(offset)를 부호 확장한 값과의 합을 구한다.4. 이 합을 데이터 메모리 접근을 위한 주소로 사용한다.5. ... 적재 명령어에서는 비트 20:16(rt)에 있고 R 형식 명령어에서는 비트 15:11(rd)에 있다.
    리포트 | 8페이지 | 1,500원 | 등록일 2011.11.19
  • [디지털시스템실험(Verilog)] PICO Processor - Decoder 결과보고서
    inst이고, output은 5bit alu_op이다. inst가 위의 표에서 나타낸 opcode이다.assign문 이전에 선언된 5bit의 각 wire는 ALU 각 연산의 op이다 ... 이번에는 inst[7:4]를 select bit으로 하여 알맞은 op****를 선택하게 되고, 이 값이 모듈의 output인 5bit alu_op가 된다. ... 모이면, 이들을 마지막으로 다시 하나의 16to1 MUX로 연결한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2011.10.05
  • 전기공학실험 마이크로컨트롤러 발표 자료 PPT
    다양한 기능의 내부 오실레이터와 아날로그 비교기 , 워치독 타이머 내장 . 16 개 까지의 채널 입력을 갖는 10 비트의 A/D 변환기가 내장 . ... 내부에는 산술논리장치 (ALU), 제어장치 , 레지스터 등으로 구성되어 있고 , 산술논리장치는 산술연산 또는 논리연산을 담당 . ... 마이크로컨트롤러를 구분하는 데 있어 가장 고전적이고 전통적인 방법 4 비트에서 64 비트에 이르기까지 다양한 종류의 제품이 출시 비트수의 증가에 따른 체계도마이크로 컨트롤러의 특징
    리포트 | 20페이지 | 2,000원 | 등록일 2014.05.27
  • 컴퓨터구조/오상엽/기말고사 예상 문제
    (op code 5bit + mode 1bit + address 10bit) 16bit6. ... 비트로 구성되어야 하는가? ... 레지스터 전송에서 직렬과 병렬 전송 방식에 대하여 비교 설명 하시오.직렬 전송 방식 - 한 번에 1비트씩 전송, bit 수 당 워드 시간이 든다.레지스터의 크기가 늘어나도 회로의 모양은
    시험자료 | 3페이지 | 3,000원 | 등록일 2013.07.08
  • MIPS 32bit 인하대학교 디지털 시스템 설계 (정덕진 교수님) 수업
    Or : And );//ALU를 통해 원하는 값을 얻기위한 MUX부분입니다.endmodule..FILE:MIPS_32bit/ALU_Control.v//ALU의 알맞은 동작을 위해 신호를 ... /ALU.v//AND OR ADDER를 지닌 ALU 부분입니다. ... ..FILE:MIPS_32bit/.lsowork..FILE:MIPS_32bit/a.wcfgMemData[31:0]MemData[31:0]labelPC[31:0]PC[31:0]PC[31
    리포트 | 16페이지 | 5,000원 | 등록일 2012.05.05
  • 산술 논리 시프트장치(ALU)를 이용한 디지털 계산기 설계
    ALU에서 한번 뺄셈을 할 때마다 레지스터에 값이 저장되고 CPR 8BIT에 의해 값이 저장될 때마다 Eq의 값이 변하게 하여 16비트 카운터의 값을 1씩 증가 시킨다. ... DvdLoad의 값이 1이 되면 16X8 MUX의 Select에 1이 입력되면서 나누어질 수(B0..B7)를 8BIT레지스터에 로드 한다.2. ... 그 이유는 비트 별로 서로 회로를 순환하는 속도가 다르기 때문이다. ALU의 구조상 출력 값이 한번에 병렬로 나오지 않고 비트 별로 순차적으로 나오게 된다.
    리포트 | 20페이지 | 4,000원 | 등록일 2011.05.25
  • 마이크로프로세서 텀프로젝트 - 농구점수판
    그 후에 인터럽트 루틴이 실행될 때 해당 비트가 clear (0)이 된다.외부 인터럽트의 동작· 인터럽트가 활성화(SREG 7bit / EIMSK 해당 비트 활성화) 상태· 외부INT의 ... .㉡ Vcc에 LED를 연결하여 회로 동작이 되면 발광되므로 회로의 동작 이상 유무를 알 수 있다.㉢ ISP케이블을 연결하는 입력단으로 atmega128과 연결된다.㉣ Clock 16 ... Register)- 개별 인터럽트의 트리거 확인표시상태 레지스터 (STAUS RESISTER) : SREGMCU의 현 상태 및 최근 수치 명령 실행에 대한 결과를 포함한다.상태레지스터는 모든 ALU
    리포트 | 20페이지 | 2,000원 | 등록일 2015.01.27
  • 부산대학교 컴퓨터프로그래밍 중간고사 요점정리
    마이크로칩). 8080 마이크로 프로세서(1974년, Intel, 16비트 주소 버스와 8비트 데이터 버스, 내부적으로 7개의 8비트용 레지스터, 16비트의 스택 포인터와 프로그램 ... 바이트- 이진장치는 바이트(byte)라고 부르는 8비트(bit)로 그룹화되어 있다.- 8비트(bit)를 묶어서 이러한 비트들을 0 또는 1의 다른 조합으로 설정함으로써 정보를 표현하기 ... 산술 및 논리 동작은 CPU의 연산 로직 장치(ALU)회로에서 실행?입출력 장치?
    리포트 | 4페이지 | 1,000원 | 등록일 2014.07.11
  • FSM 설계
    저번에 실습했던 순차회로 또는 ALU 같은 것들도 상태표와 상태도만 있으면 손쉽게 문제를 풀 수 있을 것 같다. ... 반면에 gray count는 앞 뒤 숫자의 3비트중 1비트의 숫자만 다르도록 변화 하는 count이다. ... 이번 실습에서는 mode를 변화 시키기 때문에 state 핀 4bit중 1bit만 사용하면 된다. 따라서 우리는 DIP_SW을 mode로 지정해 주었다.
    리포트 | 17페이지 | 2,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • RTN을 이용한 프로세서 설계(컴퓨터 구조)
    ..14 unused Type 0 opcode 23..20 ra 19..17 C 2 13..0 rb 16..14 Type 1 opcode 23..20 ra 19..17 C 1 16. ... 24-bit CPU 설계 (Piped-Line CPU) 8 조 60091961 김동완 60091957 고유식 60092012 유치동 60092037 정진태 60112055 이은지C ... 회의보고F etch E xecution flows F 0 E 0 F 1 E 1 E 0 F 1 F 0 E 1 F 2 E n-1 F n F n E n … …S ystem 실행 효율 24-bit
    리포트 | 52페이지 | 2,500원 | 등록일 2013.06.30 | 수정일 2014.06.29
  • 2주차 예비보고서(Internal Memory의 이해)
    )에 있는 전체인터럽트 허용 비트(I 비트)가 모두 1로 세트되어 있어야 함⑦ 상태 레지스터(SREG : Status REGiter): ALU의 연산 후 상태와 결과를 표시하는 레지스터76543210ITHSVNZC비 ... PINE$02($22)DDRE$03($23)PORTE$10($22)PIND$11($31)DDRD$12($32)PORTD$13($33PINC$14($34)DDRC$15($35)PORTC$16 ... 기타 6개③ 모든 인터럽트는 전역 인터럽트 인에이블 비트인 SREG의 I 비트와 각각의 개별적인 인터럽트플래그 비트가 할당되어 있음④ 인터럽트들과 개개의 리셋벡터는 각각 개별적인 프로그램
    리포트 | 14페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • C언어를 통한 1bit-ALU 구현 MIPS , Spim Simulator로 실행 및 결과 보고서
    제 2 장 연구의 방법▷ C 언어를 통한 1bit-ALU 프로그래밍 - Linux 환경에서 C 언어를 이용하여 1bit-ALU를 구현합니다.▷ Cross Compile ( from ... 구현[ 1bit-ALU의 모양 ]C언어를 통해 1bit-ALU를 구현하기 위해 기본적인 논리회로를 머릿속에 그려보고 실제 처리되는 순서대로 프로그램이 진행되는 것에 초점을 맞추었습니다 ... .▷ Spim simulator를 통해 실행 및 결과 분석 - Spim Simulator를 통해 실행하므로써 실제 레지스터의 값의 변화를 분석합니다.제 3 장 C언어를 통한 1Bit-ALU
    리포트 | 5페이지 | 3,000원 | 등록일 2013.06.11
  • 실험6결과 Latch&FF
    이는 64-bit RAM의 16개 주소에 각각 4-bit의 정보를 저장할 수 있는 기능을 가진다. ... 일반적으로 Random Access Memory, RAM은 컴퓨터의 ALU/CPU에서 처리하기 전의 데이터를 임시로 저장해두는 역할을 한다. ... 즉, 이전 출력에 대한 보수를 출력의 결과로 나타낸다.(0 1 1) (1 0 1) Q(t-1)4) 2bit RAM2bit의 메모리 역할을 하는 회로를 구성하였다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.05.13
  • IT기술의 현재와 미래(2017-2학기) 성적:A+
    1) RAM2) 레지스터3) 마이크로프로세서4) 제어장치5) 연산장치(ALU)10. ... RGB 컬러모델에서 한 픽셀이 24비트의 정보로 표현된다면 픽셀은 총 몇가지 색상을 표현할 수 있는가? ... (Multitasking)2) 다중처리 (Multiprocessing)3) 병렬처리 (Parallel Processing)4) 네트워크 처리5) 사분할 (Time Sharing)16
    시험자료 | 14페이지 | 4,000원 | 등록일 2017.12.21
  • 컴퓨터 하드웨어 이해하기 - 메인보드(Mainboard), 중앙처리장치(CPU), 기억장치(Memory), 입출력 카드
    컴퓨터 본체의 뒷면에 모뎀, 마우스 등과 같은 직렬 통신과 연결되는 커넥터가 접속되는 포트다 장착되고 있다.ALU가 CPU의 성능을 좌우하지만 이외에도 파이프라인이나 속도, 비트수 ... VESACPU 소켓ROM BIOS16bit ISARAM Bank8bit ISA배터리키보드 커 소켓 939라고 한다.초기의 펜티엄4용 메인보드에는 무거운 CPU 냉각팬을 받치기 위해 ... 비트 데이터 버스이다.
    리포트 | 13페이지 | 2,000원 | 등록일 2014.02.02
  • 생활전기전자 - 펜티엄칩의 종류와 구조, 특징
    다만 당시 일반 사용자 시장에서 주로 사용하던 윈도우 95는 32비트16비트 명령어를 함께 품고 있었기 때문에, 윈도우 95 환경에서 펜티엄 프로는 오히려 펜티엄보다 낮은 성능을 ... ‘펜티엄’의 브랜드는 후속모델에도 계속 이어졌다. 1997년에 등장한 ‘펜티엄 II’는 펜티엄 프로에 도입된 P6 아키텍처를 기반으로 하고 있지만, 펜티엄 프로에서 지적 받았던 16비트 ... 저장 장치와 ALU 사이를 오가는 통로)저장 장치에 있는 데이터와 명령어를 버스를 통해 읽어 와서 ALU가 연산을 수행하는 것.
    리포트 | 8페이지 | 1,000원 | 등록일 2012.06.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:53 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대