• 통큰쿠폰이벤트-통합
  • 통합검색(195)
  • 리포트(182)
  • 시험자료(11)
  • 자기소개서(1)
  • 방송통신대(1)

"4비트가산기" 검색결과 141-160 / 195건

  • 논리 회로 VHDL 프로젝트 (가산기, 반가산기, 전가산기 소스코드, 사진, 파형, 캡쳐 모두 게재)
    그 때 여러 가지 산술연산을 만나게 되는데, 그 중 가장 기본적인 산술연산은 두 비트의 덧셈연산이다. 이러한 간단한 덧셈은 4가지 기본 연산들로 구성된다. ... VHDL 프로젝트 보고서가산기(adder)디지털 컴퓨터들은 다양한 정보처리 작업을 수행한다. ... 이와 같이 세 비트의 덧셈을 수행하는 조합 회로를 전가산기(full adder: FA)라 하고, 캐리를 고려하지 않고 두 비트만을 더하는 조합 회로를 반가산기(half adder:
    리포트 | 9페이지 | 5,000원 | 등록일 2008.11.18
  • Quartus 툴을 이용하여 verilog로 가감산기.간단한 ALU 구현하기
    */defparam nbit_adder.k =n;/*k비트로 선언되어있던(혹은 다른 사람이 설계했던) n-bit adder를 n비트로 바꿔주는 부분. ... that exceeds the number of bits cannot be accommodated. ... Date 07.11. 4Kwangwoon UniversityProject (or Lab) # 1 ReportAdderSubtractor / ALU(Add,Sub,Xor,And,Or,
    리포트 | 18페이지 | 9,000원 | 등록일 2007.12.09
  • 논리회로 실험 가산기와 감산기 만점 리포트 입니다.
    《예비보고서에서 작성한 4bit parallel adder》(3) 2-bit serial adder와 2-bit parallel adder를 구성한 뒤 각각의 입력에 대한 출력을 측정하고 ... 반가산기는 하위 자리에서의 캐리가 없지만, 전가산기는 하위자리에서 캐리를 받아서 셈한다.- 반가산기는 2진수를 더할 때 최하위 자리의 덧셈을 할 때 사용하고, 그 이후부터는 전가 산기를 ... 이때 아랫단의 Carry가 발생하면 윗단의 입력으로 Carry가 들어가게 됨■ N 비트 2 진수의 덧셈을 하는 2진 병렬 가산기는 1개의 반가산기와 N-1개의 전가산기가 필요함.■
    리포트 | 9페이지 | 5,000원 | 등록일 2009.03.26
  • 음악감상문
    가곡의 대량생산기였던 1950년대 변훈, 윤용하 등의 작곡가들이 있었고 이후 1960년대 김규환, 이수인등이 일반 대중과 함께 호흡 할 수 있는 가곡들을 작곡하게 된다. ... 요소(연출·연기 등) 등을 포함하여 종합예술적인 성격을 지닌다. 2부의 연주회에서는 19세기 이탈리아 오페라의 가장 영향력 있는 작곡가인 베르디의 작품이 ‘돈 까를로’를 포함해 4곡이나 ... 가곡은 모두 느린곡이라는 나의 선입관을 깨고 빠른 비트와 거기에 한국적 해학과 재치가 돋보이는 이곡을 멋진 제스처와 부드러우면서도 박력 있게 부르는 모습은 청중들을 매혹의 도가니 속으로
    리포트 | 3페이지 | 1,000원 | 등록일 2010.06.28
  • 실험 제목 : 기본연산회로
    실험 고찰이번 실험은 XOR게이트를 사용하여 가산기 회로를 만들고, 반가산기와 전가산기 및 4비트 2진 가감산기의 입력에 대한 출력을 알아봄으로서 회로의 이해를 돕는 실험이었다.첫 ... 전가산기표 3-6 전가산기AnBnCn-1SnCn00000001100101001101100101010111001111113. 4비트 2진 가감산기표 3-8CoA4 A3 A2 A1B4 ... 그리고 M=0일 때 입력값의 최상위 비트가 둘 다 1일 때 C4(캐리)가 1이 됨을 알 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2008.01.03
  • 7487,7483 을 이용한 4자리 2진 감가산 회로 설계 (진보01기)
    설계 순서1) Quartus tool을 이용하여 진-보-0-1 기의 논리회로 설계2) 74H87과 74LS83 IC를 사용하여 4bit 가감산기 설계3) 가ㆍ감산기 Simulation ... 파형 동작확인4. ... 목 적1) 진-보-0-1 기의 동작을 이해한다2) Quartus tool을 이용하여 4자리 2진수의 가감산회로를 설계한다. 3.
    리포트 | 5페이지 | 2,500원 | 등록일 2011.12.03 | 수정일 2021.04.11
  • 산기
    두 개의 2진수를 더하는 경우 4가지 상태의 값이 나온다.입력은 두 개의 2진수 비트로 구성되고, 출력은 이들 두 개의 비트의합과 자리올림으로 구성된다. ... .고찰가산기 실험은 재미있었고가산연산장치를 이해할 수가 있었다.논리회로레포트가산기 ... 실험목적1.반가산기와 전가산기의 원리를 이해한다.2.가산기를 통하여 논리회로의 구성능력을 키운다.3.가산기를 이용한 가산 연산장치를 이해할 수 있도록 한다.2.기본이론1.반가산기비트
    리포트 | 6페이지 | 2,000원 | 등록일 2007.03.14
  • 논리회로실험 결과보고서
    1->0(하강전이,↓)일 때 Q1의 값이 반전(보수)되고, 또 Q1의 그래서 16비트 리플캐리가산기의 경우 34게이트 지연이 생긴다. ... P2P1P0C0자리올림에 대한 위의 식 C1~C3들을 살펴보면 모두 C0 변수와만 관련이 있고 자기 전 자 리의 자리올림과는 관련 없이 계산될 수 있음을 알 수 있다.아래 회로도에 4비트 ... -> 16개의 상태가 반복된다(0∼15)(15까지 카운트 한후 카운터 값이 0으로 되돌아 가 서 반복함.최하위 비트 (Q0)는 각 카운터펄스에 입력이 주어질 때마다 반전된다.Q0가
    리포트 | 14페이지 | 4,000원 | 등록일 2009.12.10
  • 학습지도안-전자계산기일반
    구성된 확장 2진화 10진 코드, 28=256개② 4bit의 zone bit와 4bit의 digit bit로 구성21218421③ zone bit - 앞 두 bit : (00)-여분 ... 많이 사용, ISO에서 통신 표준 코드② 3bit의 zone bit와 4bit의 digit bit로 구성8421전자계산기- 8 -..PAGE:9정보통신설비과정 이론 학습지도안훈 련 ... 중앙 처리 장치 구성1) 연산장치(ALU)의 구성 : 산술 연산 및 논리 연산을 수행누산기(Accumulator)산술 연산 및 논리 연산의 결과를 일시적으로 기억하는 레지스터가산기데이터
    시험자료 | 25페이지 | 2,000원 | 등록일 2010.01.07 | 수정일 2015.10.14
  • 산기와 감산기
    간단히 분석해 보면 전감산기란 바로 전단 위치의 디지트에 빌려준 1을 고려하면서 두 비트의 뺄셈을 수행하는 회로라고 볼 수 있다. ... 반가산기는 하위 자리에서의 캐리가 없지만, 전가산기는 하위자리에서 캐리를 받아서 셈한다. - 반가산기는 2진수를 더할 때 최하위 자리의 덧셈을 할 때 사용하고, 그 이후부터는 전가 산기를 ... 《반감산기 Truth table 측정값》4.92V4.92V11124mV22mV01122mV22mV1014.93V23mV00122mV4.97V1104.97V4.91V0104.94V4.91V10024mV22mV000db0biBAOutputInput
    리포트 | 27페이지 | 1,500원 | 등록일 2009.10.04
  • 순환적 나선형 교과내용
    즉, 표와 같이 덧셈해야 할 2개의 비트를 받아서 2개의 출력, 즉 합(sum)과 자리 올림 비트(carry bit)를 생성한다.반 덧셈기는 이와 같이 자리 올림 비트를 출력할 수는 ... 컴퓨터는 2개의 반 덧셈 기를 온 덧셈기와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다.필요한 게이트는 XOR, AND 게이트로 가산기는 이 게이트들로 구성되어 ... 반가산기때 설명한 것과 같고, 추가적인 내용은 반가 산기 두 개의 출력이 OR 게이트로 묶여 있다는 것이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2007.06.07
  • 디지털회로 설계의 기초 4장 연습문제 풀이(설계 제외)
    (4+3+2)+4(3+2) = 29[ns]4.3 올림수 예측기를 이용한 3비트산기 회로를 설계하시오.4.4 BCD가산기 회로를 설계하시오.=> 네모 Black box는 1bit ... 이를 이용하여 논리함수 F(a,b,c)=∑(1,3,5,7)를 구현하시오.4.7 3비트 2진수를 3비트 Gray 코드로 변환하는 회로를 다음과 같이 구현하시오. ... 4장 연습문제4.1 1비트산기에 대한 진리표를 작성하여 논리식을 유도하고, 이에 따른 회로를 설계하시오.xyDB*************100D = x'y+xy'B = x'y4.2
    리포트 | 18페이지 | 1,000원 | 등록일 2007.12.01
  • [공학기술]∥. 조합논리회로(학습지도안)
    병렬가산기각 가산기의 개념과 진리표를 알고 논리회로로 표현할 수 있다.비트의 연산에서 발생한 캐리에 유의하면서 회로를 구성한다.12/6(2)반감산기,전감산기,병렬2진감산기각 감산기의 ... 기본연산회로반가산기,전가산기,직병렬가산기반감산기,전감산기,병렬2진감산기3.여러가지 조합논리회로인코더 및 디코더멀터플렉서및 디멀터플렉서코드변환회로비교기곱셈기다단계HAND,NOR회로4. ... ·Gray 코드-한 수자에서 다음 수자로 올라갈 때 한 비트만 변하는 특성-제어 계통에 사용(K-맵에서 사용됨)?
    리포트 | 21페이지 | 2,000원 | 등록일 2007.07.13
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 8장 병렬가산기 및 감산기
    관련 이론2.1 4 bit 2진 병렬 가산기여러 개의 2진 비트로 구성되어 있는 두 개의 값을 동시에 가산하기에 필요한 가산기를 병렬가산기라 한다.예를 들어 두 개의 4 bit의 2진수와를 ... -2. 4 bit 2진 병렬 가감산기① 4 bit 2진 병렬 가산기인 7483 칩 한 개와 XOR Gate 7486칩을 이용하여 [그림 8-7]과 같이 회로를 구성한다. ... [그림8-7] 4 bit 2진 병렬 가감산기[ C=Control signal 이며, C=1일 때는 감산기로, C=0 일 때는 가산기로 동작하도록 회로도를 구성함][표 8-2]에 주어진
    리포트 | 7페이지 | 1,500원 | 등록일 2005.03.30
  • 논리회로 실험 (가산기와 감산기) 결과 (사진첨부, PSPICE첨부, Truth TAble , 카노맵 첨부)
    《예비보고서에서 작성한 4bit parallel adder》(3) 2-bit serial adder와 2-bit parallel adder를 구성한 뒤 각각의 입력에 대한 출력을 측정하고 ... 반가산기는 하위 자리에서의 캐리가 없지만, 전가산기는 하위자리에서 캐리를 받아서 셈한다.- 반가산기는 2진수를 더할 때 최하위 자리의 덧셈을 할 때 사용하고, 그 이후부터는 전가 산기를 ... 이때 아랫단의 Carry가 발생하면 윗단의 입력으로 Carry가 들어가게 됨■ N 비트 2 진수의 덧셈을 하는 2진 병렬 가산기는 1개의 반가산기와 N-1개의 전가산기가 필요함.■
    리포트 | 9페이지 | 3,000원 | 등록일 2007.09.22
  • 컴퓨터 논리회로 예비+결과
    상대적으로 큰 신호쪽이 작동하거나 같을 경우에는 Y가 작동하는 것을 알 수 있다.4) 반가산기와 반감산기의 특성입력A입력B가산기 A? ... 경우(X), A와 B가 같은 경우(Y), B가 A보다 큰 경우(Z), 세 가지로 나누어 결과를 출력하는 조합논리회로이다.입 력출 력ABXYZ*************0011010단일비트 ... 출력되는 신호의 파형은 0~5V까지 증가하는 것을 확인할 수 있다.입력A입력BOR게이트 출력0V0V0.1524V0V5V4.4006V5V0V4.3947V5V5V4.4039V입력A입력BOR게이트
    리포트 | 10페이지 | 2,000원 | 등록일 2011.04.20
  • 산기와 감산기
    병렬 2진가산기 회로를 결성하고 입력변화에 따른 출력 S? ... 이론- 반 가산기 (Half Adder): 2변수에서 입력되는 한 자리의 비트를 덧셈하는 회로이며, 컴퓨터 내부에서 가장 기본적인 계산을 수행하는 회로이다. ... Inberter)- TTL 7408(quad 2-input AND Gate)- TTL 7432(quad 2-input OR Gate)- TTL 7486(quad 2-input XOR Gate)4.
    리포트 | 5페이지 | 1,000원 | 등록일 2007.01.11
  • [컴퓨터과학]컴퓨터의 전반적인 설명(정의,특징,발달과정,기능 etc)
    라이프니츠의 가감승제 계 산기1801-1833? 1823년 차분기관 : 기계 식으로 삼각 함수계산? ... 컴퓨터의 발달과정4. 컴퓨터의 기능5. 하드웨어의 구성6. 시스템 소프트웨어7. 응용 프로그램8. 컴퓨터의 분류9. Home Networking1. 컴퓨터의 정의? ... 16 bit, Non-Preemptive Multitasking OSOS/2 2.0? 92, IBM ?32 bit Full Preemptive?Multitasking OS ?
    리포트 | 18페이지 | 5,000원 | 등록일 2008.01.31
  • 4비트 카운터
    4비트 증가 카운터서론.clock 신호가 입력될 때 들어오는 클럭의 개수를 카운터하는 회로를 구현.clock신호를 딥스위치를 사용하여 들어오는 개수를 7segment를 이용하여 들어오는 ... 리셋값 입력시 결과값이 00으로 초기화되는 것 또한 중요문제이었을 상기하고 실습에 입하였다.본론우선 회로를 구현하기 위해서 state를 정해야하는데 0~15까지 카운터를 하여야함으로 4비트의 ... Q0 Q1 Q2 Q3의 값을 출력으로 얻으면 이것을 7SEGMENT로 표현을 하여야하는데 하나의 세그먼트는 16진수로 표기되기 때문에 스팩에 따라서 2개의 세그먼트를 이용하여 한다.4비트
    리포트 | 8페이지 | 2,000원 | 등록일 2007.06.07
  • [회로설계]Verilog를 이용한 간단한 ALU 구현
    따라서 and와 or연산을 선택..PAGE:10회로도(4)가산기의 케리 입력 부분제어 신호가 100일 경우는 감산 연산을 해야 하므로 2의 보수를 만들어 주는 처리를 한다.일단 반전을 ... ] alu_con;케리 입력 input carry_in;연산 결과 output [8:0] alu_out;케리 출력 output carry_out;오른쪽 그림과 같은구조..PAGE:4사용한 ... parameter a_sub_one = 2;//alu_out = A + B;parameter a_add_b = 3;//alu_out = A - B;parameter a_sub_b = 4;
    리포트 | 31페이지 | 1,000원 | 등록일 2006.04.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:04 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대