• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(109)
  • 리포트(100)
  • 시험자료(9)

"bcd adder" 검색결과 1-20 / 109건

  • BCD adder
    BCD adder (1)BCD(Binary-Coded-Decimal).10진수를 8-4-2-1의 자리 값을 가진 2진수로 표현BCD adder.BCD로 표현 된 2진 가산기.2진 가산만을 ... 진행할 경우 두 수의 합이 9를 초과하면 BCD sum ≠ Binary Sum⇒9를 초과하는 경우 6을 더해서 해결BCD adder (2)
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.16
  • 4 bit BCD adder.subtraction
    부호를 갖는 4 bit BCD adder/subtraction을 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all ... ;entity BCD isport ( a, b:in std_logic_vector(3 downto 0);s:out std_logic_vector(4 downto 0));end BCD ... ;architecture test of BCD issignal c:std_logic_vector(4 downto 0);signal k:std_logic;beginc
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • VHDL - 4bit 가산기를 이용한 BCD adder, 4bit 가산기를 이용하지않은 BCD adder
    발생하는 carry는 버리고, 남은자리만 표현하게 구현하였습니다.4bit가산기를 이용하지 않는 BCD 가산기소스 분석4bit가산기를 이용하지 않고 BCD adder를 설계할 때 결과적으로 ... 이렇게 되면 6을 더한 첫 번째 BCD 변수 공간은 overflow가 생겨서 위에 4bit adder로 구현한 부분과 같은 결과를 얻을수 있게 됩니다. ... 4bit 가산기를 이용한 BCD adder소스 분석우선 4bit가산기를 이용하여 두 개의 입력값(a,b)을 더하게 됩니다. process문을 이용하여더한값이 9를 넘어가게 되면 즉,
    리포트 | 3페이지 | 3,500원 | 등록일 2011.11.24
  • 디지털 시스템 실험, Verilog 코딩, Adder/Subtractor/Multiplier/Divider, Binary to BCD 설계, FPGA보드 결과 포함
    Binary to BCD코드를 모델심에서 시뮬레이션을 돌려보았다.Pin Planner에 FPGA보드에 해당하는 Pin을 입력하여FPGA보드에 연결하여 올바르게 작동하는지 확인하였다.토의이번 ... Half Adder 코드를 작성하였다.2. Half Adder코드를 이용하여 Full Adder 모듈을 만들고 TestBench를 작성하였다.3. ... 실험은 Half Adder, Full Adder, 4bit Adder/Subtractor 그리고 Multiplier를 설계하고 FPGA 보드에 연결하여 4bit Adder/Subtractor와
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • [전자회로] BCD-adder
    BCD adder
    리포트 | 1페이지 | 1,000원 | 등록일 2002.06.20
  • [디지털공학] BCD ADDER 7-SEGMENT
    BCD ADDER를 7-SEGMENT를 이용해 표현하는 VHDL 소스입니다.총 3개의 소스를 하나의 TOP소스로 묶어 표현하는 형식입니다.에러가 뜨는 경우는 VHDL의 설정 변경에
    리포트 | 4페이지 | 1,000원 | 등록일 2003.06.03
  • BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정
    BCD 가산법(BCD adder)? ... 이 때문에 일부 프로세서는 아예 BCD로 덧셈과 뺄셈 등의 간단한 연산을 할 수 있는명령을 갖추고 있다.BCD 덧셈은 BCD 수를 4비트씩 묶어서 2진 덧셈 규칙처럼 더한다. ... 전자계산기 구조과제 BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정1.
    리포트 | 5페이지 | 6,000원 | 등록일 2020.07.01
  • 울산대학교 디지털시스템 기말고사
    full adder를 설계하고 이를 이용하여 4 bit BCD addder를 설계하시오.Test1. 2(0010)+8(1000)=10(0000),(C=1) Test2. 9(1001 ... Johnson counter를 D flip flop을 사용해서 설계하시오.3. 8 bit shift right register를 D flop flop을 이용하여 설계하시오.4. half adder와 ... 합이고 합이 10 이상(10~19)인 경우, 2진수의 합과 2진수 0110의 합이 BCD 합이고 이 때 2진수 합에 2진수 0110가 더해짐을 볼 수 있다.
    시험자료 | 4페이지 | 2,000원 | 등록일 2020.12.27
  • 기초전자회로및실험2 -ALUs(Arithmetic logic units)를 이용한 n-bit 계산기 설계
    이를 4bit adder(74283) 2 개를 이용하여 구현한 8bit BCD to Binary 를 통해 binary 로 변환시켜 2 진수 표현 입력 스위치에는 풀업 저항을 사용PSPICE ... 출 력 2bit 출력 to 7Segment 연산 출력값 2bit (6bit) 74185 ( Binary to BCD converter ) 7447 (BCD to7segment) BCD ... 감가산기 - 계산기의 집적도를 고려 가산기와 감산기를 동시에 설계 -AND, OR, XOR 와 같은 기본 소자들로 FULL ADDER 를 구현하고 , 이를 합쳐서 가산기를 구현했다
    리포트 | 15페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    설계 결과1) 소스코드BCD adder1bit adder- 구조적 모델링을 사용하여 bcd 가산기를 설계하였다. ... schematic 방법으로 bcd adder, 7 segment를 연결하여 만들었다. bcd adder에는 입력 값인 A(7:0), B(7:0)를 넣었고 SUM(11:0)이 나오게 ... 설계 목표BCD, BCD 덧셈, 7 segment에 대해 조사해보고, BCD to 7segment adder를 어떻게 구성할 수 있을까 고민해본다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로설계실험 BCD가산기 레포트
    그 결과 입력된 두 수를 더해줄 BCD Adder 코드, BCD를 7 segment로 나타낼 7 segment 디코더, 그리고 BCD Adder의 계산 결과를 7 segment로 전해줄 ... BCD Adder to 7 segment 코드를 설계해야한다는 결과를 도출하였다. ... 이용해 segment 각각에 값을 넣는다.4) main문에서 BCD 가산기와 BCD to 7-segment를 불러온다.5) Simulation을 이용해 BCD 가산기를 검증한다.4
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • BCD가산기 verilog 설계
    코드 기술BCD_ADDERtb_BCD_ADDERmodule BCD_ADDER(A,B,C,RESULT);input [3:0] A;input [3:0] B;output C;output ... ;reg [3:0] A;reg [3:0] B;wire C;wire [3:0] RESULT;BCD_ADDER tb(.A(A), .B(B), .C(C), .RESULT(RESULT)); ... initialbegin$dumpfile("tb_BCD_ADDER_out.vcd");$dumpvars(-1,tb);$monitor("%b",RESULT);endinitialbeginA
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • Term_Project_보고서_1조
    일의자리 연산 시 7404(Inverter)를 거쳐서 나온 일의자리 값들을 4bit BCD adder와 같이 74283(FULL ADDER)으로 넣고 overflow를 잡기 위하여 ... 처음 회로를 설계 하였을 때는 두 번째 일의자리 bcd adder 중 두 번째 full adder에서 나온 c4가 십의자리 c0에 들어가게 설계를 하였지만 8+8이나 9+9는 보상회로를 ... BCD adder를 사용하여 우리가 구현하고자 하는 6비트 출력을 위하여 십의자리 연산과 일의자리 연산을 달리하였다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • D Flip-Flop을 활용한 십진 감가산기
    DIP 스위치(5bit,1bit)- 3개계산 모듈7432 OR Gate & 7408 AND Gate 5개 사용7486 XOR Gate 6개 / 7483 4bit FULL Adder ... D Flip-flop에 연결하여 Positive Edge에 작동하는 D Flip-Flop 과 Negative Edge에 작동하는 D Flip-Flop을 만들어 7483 4bit Adder에 ... to 7segment프로젝트 사용 부품입력 모듈74LS74 D FLIP-FLOP – 5개7447 BCD to 7-Segment & 7-Segment display 1개7404 NOT
    리포트 | 13페이지 | 3,500원 | 등록일 2022.05.01
  • 디코더, mux, comprator, 4비트 감가산기
    여기에서는 2개의 입력값을 AND gate 연산과 Not를 이용해서 총 4가지 출력값을 도출 하였습니다.이를 응용해서 BCD 2진법 입력값 4개를 이용해서 7segment Display방식을 ... 제 목 : Decoder, Mux, Comparator, 4bit-adder-subtractor2. 내 용 :Decoder위 식에서는 2개의 입력값과 4개의 출력 값이 있습니다. ... =(((a0&(a0^b0))'|(a1^b1))&(a1&(a1^b1))')'4bit-adder-subtractor위 식은 4bit 가감산기를 나타낸것인데 이는 하나의 회로에서 덧셈과
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    , P3, P2, P1, P0;//8// wire a1, b1, c1, d1, e1, f1, g1;//9// wire a2, b2, c2, d2, e2, f2, g2;//10// adder ... 입력이다.//4// os_COM은 8비트 출력이다.//5// oS_ENS는 7비트 출력이다.//6// 5비트 벡터 Sum 을 선언한다.//7// P9~P0를 선언한다.//10// adder ... 라인 디코더를 이용한, 4비트 2진값의 8비트 BCD로 변환하는 컨버터 설계2. BCD 입력을 7-segment로 출력하는 디지털 회로 설계3.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 논리회로 (정연모) 기말 전체 족보 정리
    counter 차이점2.binary adder 와 serial adder의 장단점 2가지 이상씩 쓰기.3. 10100110을 해밍코드를 이용하여 12bit로 표현하고 유도하는 과정 ... decoder와 counter로 표현3) SRAM DRAM차이4) coincident register 쓰는 이유5) PLA 설명6)hold time7)binary counter와 BCD ... z)3.1) 4 비트의 asynchronous ripple counter를 T f/f 와 D f/f 각각을 이용하여 설계하고 설명하시오.2) 병렬을 가진 이진 카운터를 이용하여 BCD카운터를
    시험자료 | 2페이지 | 1,500원 | 등록일 2022.04.07
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    구현하는 방법을 익힌다.- Verilog로 구현한 Adder를 FPGA보드의 7segment를 통하여 구현하는 방법을 익힌다.3 관련 이론1. ... Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1. 실험 제목1) Vivado를 이용한 BCD to 7segment decoder의 구현2. ... BCD 코드 및 논리회로모든 컴퓨터는 내부적으로 이진법에 의해 동작하지만 사람은 이진수를 사용하지 않는다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    기존에 제작했던 adder는 2진수의 덧셈을 하므로 이것을 10진수로 표현하기 위해서는 BCD 코드로 바꿔야 한다. ... BCD현했다. ... 이론과 똑같은 동작을 한다.실습제목: 8bit Adder + FND1. 주제 배경 이론기존에 제작했던 8bit adder를 이용해서 FND 출력을 한다.
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 논리회로 족보
    (덧셈기; full adder)의 진리표를 구하고,출력s와c_o의 식을 구하시오. ... 1100 -> 0011 (1's) -> 0100 (2's) ; 즉, 1100은 0100의 보수.d) 십진수 105를 BCD(이진수로 표현 된 십진수) code 12-bit로 표현하시오 ... 구하시오.f~~=~ ab' +cd) c)의 block diagram을 all-NAND gate로 구하시오.RARROWa fb'c'a fb'c[5] (10점) 1-bit 2진수를 더하는 adder
    시험자료 | 4페이지 | 1,500원 | 등록일 2020.11.03
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:05 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대