• 통큰쿠폰이벤트-통합
  • 통합검색(440)
  • 리포트(434)
  • 논문(2)
  • 시험자료(2)
  • 방송통신대(1)
  • ppt테마(1)

"7447" 검색결과 141-160 / 440건

  • 아주대 논리회로실험 프로젝트 FPGA로 Stop Watch 만들기
    (첫번째 7447은 각 MUX의 첫 번째 라인, 두 번째 7447은 각 MUX의 두 번째 라인 등으로 설정)구조적으로 디코더를 통해 첫 번째 7segment의 Vcc를 출력할 때 MUX에서도 ... 기능 구현)< 7Segment 신호를 분배 >5개의 7447소자에서 나오는 각각의 7개의 출력을 MUX에 같은 라인으로 하나씩 입력한다. ... 7-segment 표시기를 갖는 BCD Counter7-segment 표시기를 갖는 BCD Counter(7490)7-segment 표시기를 갖는 BCD Counter(7447)2)
    리포트 | 15페이지 | 5,000원 | 등록일 2015.03.12
  • 아주대학교 논리회로실험 실험8 예비보고서
    실험 부품74HC7674HC0874HC90SN7447A4. 실험 방법 및 결과 예상실험 1. 2단 2진 카운터 ? 비동기식 카운터위와 같이 회로와 오실로스코프를 구성한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.02.20
  • 10진카운터
    이로 인해 IC7490으로 카운트, IC7447로 FND출력.데이터시트에서 IC의 각 핀의 역할을 확인. ... NE555의 8번핀, IC7490의 5번핀, IC7447의 16번핀이 Vcc임을 확인, 연결하여 전원을 인가할 수 있음.
    리포트 | 2페이지 | 2,000원 | 등록일 2015.05.10
  • 10진 카운터
    SN7490의 BCD 출력을 조합하여 FND의 각 세그먼트를 구동할 수 있게 출력을 냈으며 SN7447의 출력이 "0" 일 때 그에 대응하는 세그먼트가 동작했다. ... 주어진 회로는 NE555로 이루어진 비안정 M/V 회로의 펄스 발생에 의해, SN7490의 BCD 10진 카운터에서 10진 카운터 된 출력을 SN7447의 2진 세그먼트 디코더 드라이버 ... [sec]-방전 시간: T2 = 0.693 (R2 · C1) [sec]-주기: T = T1 + T2 = 0.693 (R1 + 2R2) C1 [sec]각 소자의 특징을 살펴보면 SN7447
    리포트 | 1페이지 | 1,000원 | 등록일 2012.01.23
  • (예) 3. 수체계
    MAN42 7-세그먼트 디스플레이의 핀 번호는그림에 나타나 있다. 7447A는 16핀이고 MAN72는 14핀 인 것에 주의하라.전원을 인가하기 전에 디코더의 각 출력과 MAN72입력 ... 부록 A의 7447A에 대한 제조업체의 데이터시트에서 함수표를 보고, 앞자리의 0을 표시하지 않기 위해 'LAMP TEST' , 'BI/RBO''RBI'입력에 어떤 입력을 인가해야
    리포트 | 4페이지 | 1,000원 | 등록일 2015.12.11
  • 응용전자공학 3 Properties of Inductor and Condenser; Characteristics of R-C and R-L-C circuits 실험 보고서(영문)
    106.03714536.74475k10k ~(9.91k)0.001~(0.00126)12.48710.2517.9146As you can see the errors are quite large with36.7447%
    리포트 | 4페이지 | 1,500원 | 등록일 2018.10.23
  • 디지털실험 결과보고서 실험 4. 엔코더와 디코더 회로
    디코더(7447)소자는 설계 실험에서 봤듯이 내부가 매우 복잡할 것 같아서 그런지 소자가 고장나서 결과가 나오지 않는 조도 꽤 있었다. ... 한 가지 입력에는 한 개의 출력만 1이다.2. 7447소자를 이용하여 7세그먼트를 구동 확인하고 0~9숫자의 논리식을 표현하라.실험 2번의 회로와 결과 0. ... 우리 조는 좋은 소자가 걸려서 다행이다.7447소자에 1001입력을 주면 9가 나오는 것과 그 이하의 숫자는 확인 했지만 1010이상의 입력에서는 어떻게 동작 할지 궁금하다.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.09.30
  • 디지털실험및설계 예비6(복호기 및 부호기)
    그런데 이 출력 값을 바로 7 segment에 달아서는 사용을 할 수가 없으니 이때 추가로 달아주는 것이 BCD-to-7 segment 복호기 7447이다. 7447의 기본적인 동작원리를 ... DC00011110000010010010111100101100그림 3.2) B의 카르노맵(3) BCD-to-7 segment십진 카운터 7490, BCD-to-7 segment 복호기 7447
    리포트 | 10페이지 | 1,500원 | 등록일 2015.12.05
  • BCD to Excess-3 코드 가/감산기 설계 보고서
    세그먼트를 사용하기위해 7447 IC를 사용한다. 7447은 L 출력일 때 만 FND가 점등된다. 7447과 세그먼트의 진리표를 살펴보면 아래와 같다.BCD 입력출력해당하는 숫자0000LLLLLLH00001HLLHHHH10010LLHLLHL20011LLLLHHL30100HLLHHLL40101LHLLHLL50110HHLLLLL60111LLLHHHH71000LLLLLLL81001LLLHHLL97447과 ... A4, B4, S4는 최상위 비트이고 A1, B1, S1은 최하위 비트이다.④ 74477447은 세그먼트의 출력을 위해 필요한 IC 이다. 7447은 L 출력일 때 만 FND가 점등되기
    리포트 | 12페이지 | 1,500원 | 등록일 2015.12.10
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 예비보고서
    segmentLEDs[j], digitForNum[i][j]);}// 1초 동안 대기합니다.delay(1000);}● 디지털 게이트에서의 BCD코드와 Seven-segment의 0~9 표시7447
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • (연세대 일반물리) 포사체의 운동
    장전경사각20도30도45도60도70도159.456.751.45032.8260.257.253.24933.2359.66057.145.330.445957.65845.433.7557.857.259.647.533.3R' 평균(cm)59.257.7447.7247.4432.682단
    리포트 | 3페이지 | 1,000원 | 등록일 2016.11.08 | 수정일 2016.11.22
  • 디지털시스템(TTL CLOCK)
    이 "H"신호는 7447 두개에 각각 연결이 되어 있는데 해독을 하면 "12"시가 표시된다.i. ... 카운터에서 나온 신호를 BCD to 7- segment LED(7447)을 이용하여 표현 할 수 있다.3. ... 오른쪽 7447의 4번 단자는 신호가 "0"일 때는 display하지 않고 "1"일 때만 display을 하게 된다.
    리포트 | 51페이지 | 2,000원 | 등록일 2010.07.02
  • 디지털시계 만들기 회로도
    에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 표시기 디코더, modulo-N ... 또한 12진 카운터의 값이 10 또는 11일 경우 하나의 TTL 7447 디코더와 7세그먼트 표시기로 값을 표시하면 하나의 자리로 표시되기 때문에 알아보기 힘들다. ... 즉 TTL 7447은 0에서 9사이의 값을 입력받을 경우 7세그먼트 표시기에 우리가 실제 사용하는 숫자 모양으로 표시할 수 있도록 해주는데 착안하여 (b)의 진리표에 나타낸 것과
    리포트 | 18페이지 | 2,000원 | 등록일 2015.01.22
  • 99진 계수기
    ) 및 IC5(SN7448)는 디코더 IC이다.① IC4(SN7447)의 10진 디코더 출력이 L(0)인 때는 FND가 점등되고, H(1)인 때는 소등된다.SN7447 ===> FND ... 5) IC2(SN7490)의 D 출력은 0~9까지 계수하면 1개의 펄스가 나타나 IC3(SN7490)에 공급, 10진 출력 A',B',C',D'를 내보내게 된다.(6) IC4(SN7447
    리포트 | 2페이지 | 1,000원 | 등록일 2013.11.29 | 수정일 2019.08.24
  • 논리회로 실험 - 디코더, 인코더 결과보고서
    회로를 구성한다. 7447의 LT는 +5V로 하고 주파수 발생기를 단일 펄스 모드로 한다. 7490의 R0 control로 카운터를 reset시킨다. 7447의생기를 1Hz 정도로 ... 이러한 기능을 7447이 할 수 있다. 7447은 애노우드 접지형 7 segment LED를 드라이브할 수 있게 active-low open-collector 출력을 갖는다.그림 8의 ... 이때 발생한 BCD코드를 10진수로 고치고 그것을 7segment LEC DISPLAY가 이해할수 는 코드로 변환해주는 역할을 IC7447이 하게 된다.실험에서 7447은 L출력일때만
    리포트 | 12페이지 | 1,000원 | 등록일 2008.02.25
  • 실험4 프로젝트 보고서 ( 소스 해설 필수자료 ) 직접 작성 마이크로컨트롤러 마이크로프로세서 타이머 시계
    실제 회로도에서는 총 6개의 7-segment에 하나씩 들어갈 수 있도록 7447칩 역시 6개가 사용되었다. ... 회로 분석1) 78052) 7447과 7segment3) 80514) CLK와 스위치5. 사용된 부품6. C코드 분석7. 결 과 물8. 고 찰1. ... (케소드 커먼) 또한 7447은 항상 +5V로 출력하다가 0V신호를 주어서 FND를 동작시키고, 7448은 항상 0V로 출력하다가 +5V신호를 주어서 FND를 동작시킨다.
    리포트 | 29페이지 | 3,500원 | 등록일 2014.12.16
  • 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    7-segment 표시기를 갖는 BCD Counter7-segment 표시기를 갖는 BCD Counter(7490)7-segment 표시기를 갖는 BCD Counter(7447)※ ... 출력 값이 다시 입력으로 들어가는 feedback구성으로 이루어져 있다.※ 회로에서 D Latch의 역할: 7490에서 카운팅된 비트가 모두 D Latch를 거쳐 각각의 7447소자로 ... reset/lap 버튼이 control 하기 때문에 클럭이 카운팅을 하고 있을 때 reset/lap버튼을 누르면 latch가 off가 되어 클럭은 카운팅이 되어도 latch를 거쳐 7447
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • 전자실험프로젝트-세계시계
    Project 내용 [구현] 1) 위 브레드보드 부분 - 세그먼트 - 7447 디코더 - 10진 카운터 - AND Gate2) 아래 브레드보드 부분 - 발진회로 - 발진확인
    리포트 | 23페이지 | 2,000원 | 등록일 2014.12.09
  • 우리나라 도로정책 추진방향과 본인의견
    0132014합계교량개소27,38128,15228,71329,19029,896터널개소1,3821,4651,5781,6591,777고속국도교량개소8,0478,1408,3028,4908,493구성비29.428.928.929.128.4터널개소673708755793810구성비48.748.349.147.845.6일반국도교량개소6,2486,6616,8767,0437,346구성비22.823.723.924.124.6터널개소374402421461494구성비27.127.426.727.827.8특별광역시도교량개소1,1301,0451,0871,1381,173구성비4.13.73.83.93.9터널개소142144146156172구성비10.39.89.39.49.7국가지원지방도교량개소1,1131,1421,1911,2141,233구성비4.14.14.14.24.1터널개소4752676471구성비3.43.54.23.94지방도교량개소3,4433,4723,5273,5613,693구성비12.612.312.312.212.4터널개소63697279101구성비4.64.74.64.85.7시군구도교량개소7,4007,6927,7307,7447,958구성비2727.326.926.526.6터널개소839097106129구성비66.16.16.47.3
    리포트 | 5페이지 | 2,000원 | 등록일 2018.12.12
  • 메카트로닉스-LAB #4 (Digital Integrated Circuit)
    display decoder의 활용 능력을 배양한다.디지털 소자를 이용한 회로 구성 능력을 배양한다.Parts list7segment LED7490 decade counter 7447 ... Circuit)Group No.담당교수분반작성일작성자조원Summary디지털회로의 timing diagram 해석능력을 배양한다.7490 decade counter의 활용 능력을 배양한다.7447 ... 예를 들어 숫자 3을 표시하기 위해 a b, c, d와 g 세그먼트가 불이 켜져 있고 e와 f는 꺼진다.7447 display decoder는 1001(9)보다 큰 입력 코드들에 대해서
    리포트 | 7페이지 | 3,000원 | 등록일 2014.01.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:36 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대