• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(844)
  • 리포트(805)
  • 자기소개서(27)
  • 시험자료(7)
  • 논문(4)
  • 방송통신대(1)

"LCD시계" 검색결과 141-160 / 844건

  • [마이크로 프로세서]8051 디지털 시계 제작
    제작후기이번에 만들어본 LCD 시계는 정말 고생하고 힘든 만큼 보람도 있는 작업인거 같다. ... 8051 디지털 시계 제작? ... 시계 Display 구상? 스위치를 이용한 LCD 제어*스위치1: 우로 움직이는 기능→(AM/PM)시간:분:초 설정가능*스위치2: up 기능→분,시간을 맞출 수 있다?
    리포트 | 13페이지 | 1,000원 | 등록일 2006.04.10
  • 스마트폰에 뒤를 이을 스마트워치! 대학교 과제 레포트용으로 좋습니다(ppt파일)
    모토로라 모토 360 OS 안드로이드 4.0 안드로이드 웨어 안드로이드 웨어 안드로이드 웨어 무게 두께 122.5g 63g 9.95mm 59g 8.9mm - 디스 플레이 1.6 LCD ... 조만간 회의시간에 스마트폰이 아닌 손목시계를 톡톡 건드리는 사람이 많아질 것 같다 . 즉 , 스마트폰시장보다는 스마트 워치 시장이 앞으로 더 발전 될 것이다 . ... 관련 79 개의 특허 신청 • 대만 , 러시아 , 멕시코 , 일본 등지에서 아이워치 ( iWatch ) 상표권 신청 • 스마트 시계 개발팀에 100 여명에 가까운 인력 투입 구글
    리포트 | 16페이지 | 2,000원 | 등록일 2015.04.15
  • LCD란?
    전자계산기나 시계와 같은 소형 제품에 주로 쓰임 . ... 이용한 시계 및 전자 계산기가 본격적으로 출시되어 전세계적인 붐을 일으켰으며 이후 TV 나 모니터에도 본격적으로 탑재되기 시작함 .LCD 의 화면 구현 원리액정이 배열된 패널의 가로축과 ... LCD (Liquid Crystal Display)목차 1. CRT 와 LCD 의 차이 2. LCD 의 역사 3. LCD 의 화면 구현 원리 4 .
    리포트 | 18페이지 | 1,500원 | 등록일 2013.05.05
  • [토끼] VHDL로 구현한 [시계와 스톱워치가 내장된 자동차 연료 잔류량 표시기] 텀프로젝트
    5개속도에 따른 연료 소비 표현Toggle SW 3개시계 선택 및 시간 설정50MHz 클럭동 작 클 럭output 77개용 도핀 주소Character LCD주제 표현LED (RED) ... 기능*** Toggle 스위치를 사용한다.SW3 : 시계 시, 분 조정SW2 : 시계 시, 분 선택SW1 : 시계 기능 선택5) 스톱워치*** Toggle 스위치를 사용한다.SW2 ... : 시간 시작, 멈추기, 리셋SW1 : 스톱워치 기능 선택일정 시간 연료 소비량 계산시 이용6) CharacterLCD*** Character LCD를 사용한다.LCD : 캐릭터
    리포트 | 43페이지 | 5,000원 | 등록일 2013.01.17 | 수정일 2020.07.10
  • 압전열전발전(대학원과정)
    기술의 응용 BMW 5 , 열전을 사용한 자동차 Generator SEIKO 사 체온동작 손목시계 신기술3-5. ... 센서 등 전자기기 분야 오디오용 필터 , 초음파 가습기 , 안정기 , 부저 등 정밀계측기 분야 압전 마이크로미터 , strainage , positioner 등 디스플레이 분야 LCD
    리포트 | 43페이지 | 4,000원 | 등록일 2019.04.12
  • 전전컴설계실험2-Final Project PPT
    - 부가기능 기타사항 V 프로젝트 목적 roject Report P Stop_Watch_Mode Setting Mode 날짜기능 AM,PM 변환기능 Clock Mode TEXT LCD ... 에 기본 Digital Watch 및 추가 기능 구현 3 /8V 프로젝트 진행일정 roject Report P 1 주차 ( 기본 시계 구현 – 시 / 분 / 초 구현 및 동작 검증 ... ) 2 주차 ( 기본 시계 구현 - 시 / 분 / 초 조절 기능 구현 및 동작 검증 ) 3 주차 ( 부가 기능 구현 및 프로젝트 제작 완성 ) 발표 및 시현 프로젝트 목적 프로젝트
    리포트 | 8페이지 | 1,000원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 과제1(반도체에 생명을 불어넣은 사람들)
    ,다이니 세이코수와 세이코가 LCD 손목시계를 내놓은 지 두 달 후 다이니 세이코가 LCD 손목시계를 시판.⇒ 아날로그 시계에서 디지털 시계로의 혁명적인 전환.1974미국,휴렛 팩커드벨 ... )1975-LCD 시계에 대한 열풍으로 약 20여개의 회사가 LCD 시계 생산.⇒ 디지털 붐으로 인한 가격 경쟁이 심해짐.1979미국,휴렛 팩커드LED의 한계를 알고 LCDLCD ... 토대로 얇은 나선형 LCD를 독자적으로 개발.LCD가 부착된 손목시계를 시장에 내놓음.수와 세이코의 화학 전공자인 야마자키 요시오가 LCD 연구에 있어서 주도적인 역할을 함.1973일본
    리포트 | 7페이지 | 1,000원 | 등록일 2012.07.12 | 수정일 2017.06.21
  • 마이크로 프로세서를 이용한 암산게임기 (Codevision)
    이용해서 구현시계의 카운트다운 기능첫 번째 시계와 두 번째 시계를 참고해서 구현세부적인 기능은 우선 교수님이 요구하신 기능(인터럽트 3개사용, Kit를 제외한 2가지 추가기능 사용 ... if(Start==1 && qu==0){LCD_pos(0,0);LCD_Data('1');LCD_Data('+');LCD_Data('2');LCD_Data('=');if(answer ... 수정한 소스(1)if(Start==1 && qu==0){LCD_pos(0,0);LCD_Data('1');LCD_Data('+');LCD_Data('2');LCD_Data('=');if
    리포트 | 22페이지 | 3,000원 | 등록일 2012.03.04 | 수정일 2019.12.08
  • 디스플레이기술과사회,OLED 기술과 미래전망,브랜드마케팅,서비스마케팅,글로벌경영,사례분석,swot,stp,4p
    LCD는 1962년에 미국의 RCA사에서 최초로 DSM-LCD라는 표시장치로 개발되었고, 1973년에는 전자시계와 전자계산기에 쓰이며 많은 인기를 누렸다. ... PDP 기술도 마찬가지로 소비자들의 원하는 요구를 모두 수용하지 못하였다.그래서 다음 기술이 바로 전자시계에도 사용되고 있었고 이미 많은 노트북에도 사용되고 있었던 LCD 디스플레이였다 ... 그렇기 때문에 LCD보다 현저하게 좋지는 않으면서 고비용이라는 문제를 가져 소비자들은 여전히 LCD를 선택하였다.
    리포트 | 17페이지 | 2,000원 | 등록일 2013.04.09
  • 디지털실험 - 실험 4. 엔코더와 디코더 회로 예비
    같은 디스플레이 장치를 사용하는 출력을 나타내게 되는데 시계나 전자계산기 등이 좋은 예이다. ... 회로로서2 ^{n}개 또는 이보다 적은 개수의 입력신호로부터 n개의 출력신호를 만든다.3) 7-세그먼트 표시키 (Seven Segment Indicator)디지털 회로는 LED또는 LCD
    리포트 | 6페이지 | 1,500원 | 등록일 2017.04.02
  • [공학]AVR(C언어) 디지털시계 프로그램 소스
    작품구성요소1) KUT-8515 키트2) LCD4. 작품 내용C언어로 작성한 프로그램을 8515에 저장하여 연결된 LCD에디지털 시계를 표시.5. ... 작품 개요Atmel사의 8515칩을 사용하여 만든 KUT-8515 키트를 이용하여디지털 시계LCD에 표현하도록 C언어로 프로그램을 작성한다.외부 인터럽트를 이용하여 시계 및 알람을 ... 작품 결과외부 인터럽트 0, 1을 이용하여 시계를 설정하여 AM/PM, 시각, 분, 초,요일을 LCD에 표시하고 알람 설정시 알람세팅 시간과 현재 시계의 시간이일치하면 P1포트의 LED를
    리포트 | 11페이지 | 2,000원 | 등록일 2007.01.16
  • LCD에 대한 모든 자료 입니다.(의미, 종류, 특징, 역사, 구조, 제조공정, 응용분야, 향후 연구개발 등)
    TN-LCD가 여기에 속하며, 표시량이 많은 용도에 STN, 시계, 계산기 등 표시량이 간단한 용도에 TN이 사용된다.? ... 경량,박형화의 기술과 낮은 소비전력을 달성하기 위한 기술이 개발되고 있음- 화질의 향상을 위한 고해상도의 제품개발도 이루어지고 있음.○ TN-LCD시계·계산기에 적용되며, STN-LCD는 ... ········ 1 ~ 7 page LCD의 의미와 액정의 특징 및 종류 1~2 LCD의 구동 원리 3 LCD의 종류 4~6 LCD의 특징과 역사 7?
    리포트 | 23페이지 | 2,000원 | 등록일 2013.01.03
  • 멘토링 결과 보고서( 취업강좌)
    GPS 위성과 GPS 수신기의 거리는 위성에서 보내는 전파의 도달 시간을 바탕으로 계산하게 되는데, 위성에 장착된 시계와 수신기에 장착된 시계가 일치하지 않아 오차가 발생하기 때문이다 ... 차세대 평판 Display 장치인 TFT-LCD TV/Monitor, PDP TV, Front Projector 및 DLPㆍ3-Panel LCDㆍLCoS Rear Projection ... Display"를 사업부 Vision 으로 삼아 명실상부한 Global 선두기업의 선봉에 설 것입니다.(2) 주요업무* Hardware- Display 구동회로 설계 (PDP, CRT, TFT-LCD
    리포트 | 12페이지 | 1,000원 | 등록일 2014.11.06 | 수정일 2016.11.27
  • avr을 이용한 디지털 시계(기능추가)
    개요- 책에 제공된 예제를 바탕으로 KUT-8515 Board와 2 Line LCD를 이용하여 기능을 추가 한 디지털시계를 제작하였다.
    리포트 | 21페이지 | 1,500원 | 등록일 2007.06.06
  • Linux Fedora(리눅스 페도라) Minicom 을 이용한 디지털시계 설계
    물리주소void lcd_con();void lcd_con2();void initialize_textlcd();void setcommand(unsigned short command) ... 설계를 하려고 하였으나, 아직 프로그램 코딩에 미흡하여 디지털시계를 설계못하고 스탑워치를 설계하기로 함. ... 0x14801000//세그먼트 선택 제어주소#define ADDRESSOFLED 0x14805000//LED의 물리주소#define ADDRESSOFTEXTLCD 0x14809000//LCD
    리포트 | 12페이지 | 1,500원 | 등록일 2012.10.11
  • 리눅스를 이용한 시계설계. 프로세스설계
    설계 결과 보고서-디지털 시계구현-Contents-설계목표&기준설정-배경이론-소스-결과-목표 : 리눅스의 디바이스들을 이용해 디지털 시계를 구현한다. ... 세그먼트 선택 : 0x14801000-Text LCD :-LED :-각 bit의 값이 1이 되면 해당LED가 ON이 된다-base address : 0x1480_5000#include ... 윗쪽에" 32072187 " 표시char buf2[15]=" 32081750 "; // lcd창 아랫쪽에" 32081750 " 표시int fd,i,j,k,l,e;int len1=15
    리포트 | 12페이지 | 5,000원 | 등록일 2013.03.12
  • 태양 밝기 및 물체와 거리 따른 LED 전동 로봇 제작
    모터 2개로 이동 로봇을 구성할 왼쪽 모터는 반시계방향으로 오른쪽 모터는 시계방향으로 동작시켜야 앞으로 수 있다. ... DIR 핀이 GND 에 연결될 경우모터가 VCC 에 연결될 경우 반시계방향(CCW)으로 회전한다. ... (0,0);lcd_putsf("BEST!
    리포트 | 26페이지 | 3,500원 | 등록일 2013.06.13 | 수정일 2014.01.06
  • 플렉시블 디스플레이 응용분야, 해결과제, 시장전망
    가지는 디스플레이 제작 가능 소자 제작시 선택할 수 있는 디자인의 폭이 매우 넓음응용분야 사용 실례 가전제품 및 소비형 전자제품 가정용 가전제품 , 전자제품 , 휴대용 음악장치 , 시계 ... 가능성 , 가격경쟁력 , 신뢰성을 확보 투명성 , 유연성 , 저가격화 , 용매와 산에 반응하지 않는 성질 250 ℃ , 그 이상의 온도에서 열안정성 확보 고유 경제 특성 OLED, LCD
    리포트 | 11페이지 | 1,500원 | 등록일 2014.05.25
  • 스와치(Swatch) 기업분석 / Swatch 기업분석
    최초의 6자리의 표시 방식을 가진 LCD 쿼츠 시계를 출시 후반에는 LCD가디지털 분야를 석권.- 1984년, 전 세계 시계 판매량의 75%이상이 쿼츠 시계의 기술을 바탕.새로운 쿼츠 ... 기술의 개발의 전진- 직접 회로, 배터리, LCD 패널 등의 대량 생산을 위해 자동화된 공장과생산 설비들에 막대한 규모의 투자- 기술적으로 탁월한 시계를 개발과 생산하기 위해서 종업원들 ... 잠수용 시계, 음악연주 알람시계, 전자 칩을 내장한 시계, 호출기 시계, 음식의 칼로리가 적힌 다이어트 시계 등이 그 예이다.
    리포트 | 9페이지 | 1,500원 | 등록일 2012.10.06
  • ATMEGA8535를 사용한 오토 알람 블라인드(회로도, 소스 포함)
    FAN- 알람과 빛감지로 열리고 닫히는 블라인드- 리모콘 수신부4.2) 기본 성능1) 빛을 감지하여 모터 ON, OFF2) 타이머 인터럽트를 이용하여 LCD시계 출력(외부 크리스탈조절 ... temp_temp;//////////// 시계 설정 /////////////unsigned int sec_cnt;unsigned int ms1, ms2;//unsigned char ... =0x18 ;PORTB //lcd를 PORTB로 사용// .equ __lcd_port=0x1B ;PORTA// .equ __lcd_port=0x18 ;PORTB// .equ __lcd_port
    리포트 | 15페이지 | 3,500원 | 등록일 2013.02.28
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:45 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기