• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(844)
  • 리포트(805)
  • 자기소개서(27)
  • 시험자료(7)
  • 논문(4)
  • 방송통신대(1)

"LCD시계" 검색결과 81-100 / 844건

  • 스와치 전략경영
    LED, LCD 시계 개발 1. ... ) - LCD, LED 전자시계 도입분석 평가 Q2-1. ... 스와치의 성공이후 , 스위스 고급시계 (Rolex), 일본시계 (Seiko), 미국시계 (Timex), 스와치 (Swatch) 의 가치곡선을 그려보시오 . Q2-2.
    리포트 | 23페이지 | 2,000원 | 등록일 2018.10.27
  • 프로젝트
    7-segment를 이용한 시계,스톱워치,알람을 구성하였다. 또한 LCD에 학번과 이름을 출력하여 만든이를 보이게 만들었다. ... 여기에서 B0 버튼을 눌렀을 경우 시계 설정 모드로 들어가며 LEDR의 불이 꺼지게 되어 시계 설정 모드라는 것을 인지할 수 있게 하였다. ... ,분,초를 표시하였고 또한 시계 설정모드가 구별하기 위해 LEDR을 1초마다 깜빡이게 만들어 시계가 동작중인 것을 시각적으로 알 수 있게 만들어 놓았다.
    리포트 | 12페이지 | 4,000원 | 등록일 2018.06.12
  • 고압증기멸균기(Autoclave) 가용 메뉴얼
    문을 닫은 후 시계 방향으로 핸들을 돌려서 문을 잠가 줍니다. (LCD창에 “close”가 나올 때 까지 돌려 줍니다.)6. ... 문을 닫은 후 시계 방향으로 핸들을 돌려서 문을 잠가 줍니다. (LCD창에 “close” “condition lamp”가 나올 때 까지 돌려 줍니다 .) 6. ... 멸균 완료 알람이 울리면 start버튼을 눌러서 알람을 정지한 후 핸들을 반 시계 방향으로 돌려 문을 연 후 소독 물품을 꺼냅니다.
    리포트 | 12페이지 | 4,000원 | 등록일 2018.02.09
  • atmege128을 이용한 습도경보기
    이용하여 회로를 구성 한 후 추가하여 현 재 습도를 측정하는 디지털 습도계를 구현- 습도 경보 울릴시 모터를 작동하여 습기 제거하는 기능 구현- Timer0를 이용하여 평상시에 시계기능을 ... 동시에 할 수 있도록 구현.- 실습한 LED제어를 이용하여 습도계와 비슷하게 습도에 따른 변화를 구현.- LCD 제어를 통한 시간과 현재 습도의 화면 표시.- 인터럽트 및 스위치를 ... 이용한 습도정지 및 시간 설정, 습도 정지 기능 구현.- 부저를 이용한 습도상승 시에 경보기 역할 구현.- 습도상승 시에 LED및 LCD의 화면 출력을 이용한 경보 표현.- 경보
    리포트 | 49페이지 | 4,000원 | 등록일 2014.06.03
  • LCD 작동원리 발표자료
    손목시계, 전자계산기처럼 간단하고 작은 장치에는 만들기 쉬운 수동형을 사용합니다.TN-LCD를 기반으로 한 STN, DSTN, CSTN등이 사용됩니다.구조가 단순해서 생산성이 높고 ... 이로인해 모니터,TV등 다양한 분야에 LCD가 스며들었습니다.LCD란? ... 능동형 LCD는 독립적으로 제어가 가능한 액정을 배열하는 방식으로 화면을 구성합니다.대표적인 능동형 LCD로는 박막트랜지스터로 제어하는 TFT방식입니다.TFT방식에 대해서는 추후 설명
    리포트 | 47페이지 | 4,000원 | 등록일 2017.05.31
  • 스와치 마케팅전략 - 가치 혁신(Value innovation)
    표시방식 LCD 쿼츠 시계 출시 1970 년대 후반 LCD 가 디지털 분야 석권 1984 년 전 세계 판매량의 75% 이상이 쿼츠 시계 기술 바탕 쿼츠 시계는 직접회로 사용 , 1 ... 위기(1970-1990) 디지털 시대의 도래 발광 다이오드와 LCD 시계 출현 Seiko 최초로 LED 기술 입힌 쿼츠 시계 개발 , 상업화 성공 1973 년 세계 최초의 6 자리 ... 토론 Q1 시계산업의 가치요소를 뽑고 , 스와치의 성공 이후 , 스위스 고급시계 (Rolex), 일본시계 (Seiko), 미국시계 ( Timex), 스와치 (Swatch) 의 가치곡선을
    리포트 | 29페이지 | 2,000원 | 등록일 2017.09.27
  • Swatch의 탄생과 성공. 스위스 시계 산업의 가치혁명.
    도래 발광 다이오드와 LCD 시계 출현 Seiko 최초로 LED 기술입힌 쿼츠 시계 개발 , 상업화 성공 1973 년 세계 최초의 6 자리 표시방식 LCD 쿼츠 시계 출시 1970 ... 년대 후반 LCD 가 디지털 분야 석권 1984 년 전 세계 판매량의 75% 이상이 쿼츠 시계 기술 바탕 쿼츠 시계는 직접회로 사용 , 1 초의 오차 X, 제조 비용 감소 스위스 시계산업의 ... 위기 기술의 변화스위스 시계산업의 위기 일 본 의 시계산업 자동화 공장과 생산 설비에 막대한 규모가 1mm 미만인 손목시계 movement 출시 1986 년 손목시계 생산이 세계
    리포트 | 24페이지 | 2,500원 | 등록일 2017.06.23
  • 기만적 표시 광고 고찰
    대하여 동 시계는 자기가 부품을 수입하여 국내에서 조립·생산하였음에도 불구하고 이러한 사실을 표시하지 아니하고 "스위스에서 가장 사랑받는 정통고급시계", "○○○ Switzerland ... " 라고 일간지 및 잡지 등에 광고한 경우TV홈쇼핑 방송을 통해 특정 제조사의 컴퓨터 본체와 다른 제조사들의 LCD모니터를 함께 판매하면서 이들 LCD모니터의 제조사들을 사실에 부합하게 ... 표시하기는 하였으나 총 100분의 방송시간 동안 LCD모니터제조사들에 대한 어떠한 설명(방송멘트 등)도 없이 해당 방송화면 하단자막에서 1회 5초정도의 시간동안 각각 3회 및 5회
    리포트 | 5페이지 | 1,500원 | 등록일 2018.02.18
  • 삼성의 기업개요와 삼성의 빅스비(vixvy)의 대한 레포트입니다.
    -CE: TV, 모니터, 냉장고, 세탁기, 에어컨 의료기기 등-IM: HHP, 네트워크시스템, 컴퓨터, 스마트폰 등-DS: DRAM, NAND Flash, 모바일AP, TFT-LCD ... 관련 정보 알림/ 핸즈프리 전화 연결/ 일정 작성 및 리마인더/ 문자 작성 및 전송/ 송수신 전화관리/정보 검색/메모 작성- 여가 기능: 음악 재생/ 라디오 재생/ 날씨 정보/ 시계
    리포트 | 3페이지 | 1,000원 | 등록일 2019.04.07 | 수정일 2019.12.10
  • 아날로그&디지털
    이때 바늘이 돌아가는 시계를 아날로그시계, 숫자가 나타나는 시계를 디지털시계라고 할 수 있다.→ 그림1.1 아날로그 표현의 예1 (계기판)→ 그림1.2 아날로그 표현의 예2 (시계) ... 물론 브라운관 TV의 발전으로 디지털 방송도 볼 수 있었지만, 얇고 선명한 LCD TV가 나오면서 자리를 내주게 되었다.우리나라에서는 방송국에서 디지털 방송을 송출된 후에도, 아날로그 ... 그러나 모든 데이터 장비의 신호는 2진 펄스 형태의 디지털 신호로서 단속적이고 계수적인 점에서 아날로그와 구분된다.예를 들어 시침과 분침이 돌아가는 시계와 시간이 계수로 나타나는 시계
    리포트 | 6페이지 | 1,500원 | 등록일 2019.04.14
  • A+성인간호학간암케이스,진단4개,간호과정,간암case
    LCD의 소견을 보이는 경우 간세포암종이 발생 할 확률이 LCD가 없는 경우보다 2~4배 이상 높은 것으로 보고되었다. ... 검사실 내부에는 강한 자장이 형성되어 있으므로 검사 전에 금속으로 된 물건, 틀니, 안경, 시계, 금속 머리핀, 신용카드 등 자기가 통하는 물건은 미리 제거한다. ... LCD는 크고 진하게 염색되는 불규칙 한 모양의 핵을 보이며, 세포질이 풍부하여 핵 대 세포질의 비가 정상이거나 다소 증가된다.
    리포트 | 18페이지 | 2,500원 | 등록일 2019.06.01
  • 로크웰 경도계
    시험기와 달리 측정 제품이 부피가 크거나 무게가 무거운 제품을 테이블위에 고정 시킬 수 없는 제품을 편리하게 측정할 수 있는 제품으로 다양한 경도 값이 자동 환산이 가능하며 넓은 LCD ... 이때 하중 복귀 lever A가 시계방향으로 움직이게 되며 큰 침도 움직인다. 큰 침의 움직임이 멈추면 하중 복귀 lever R을 반시계 방향으로 시작할 때의 위치로 옮긴다. ... 이때 시험할 부분과 anvil 상에 고정할 부분은 불순물이 없도록 잘 연마하여야 한다.② 하중 복귀 lever R을 반시계방향으로 돌려 앞쪽으로 완전히 제친다.③ 시험편의 재질 등을
    리포트 | 8페이지 | 1,000원 | 등록일 2018.04.14
  • 8051을 이용한 다기능 디지털 시계 만들기
    소 스 (2)void main(void) // Main문 시작{Lcd_Clr(); //LCD 초기화GLCD_Initialize(); //GLCD 초기화Init_Config(); // ... 결 과초기화면① 모드1 (디지털 시계 기능, 알람 기능)- 월/ 일/ 요일/ 시/ 분 /초 구현(각요소 Key2개로 세팅가능)- 시계 구동/정지가능 (KEY3 : TR0 =~ TR0 ... 레지스터 세팅 함수 호출while(1){Display(); //LCD,GLCD Display함수 호출if(keyflag == 1){ //키가 눌리면keyflag = 0;switch(
    리포트 | 24페이지 | 10,000원 | 등록일 2009.11.30
  • 편광의 의의, 편광의 원리, LCD에 적용된 편광원리, 편광 실험
    반사시켜 물속의 모습이 잘 보이지 않고, 유리 진열장도 빛을 반사시켜 진열장 안의 내용물이 잘 보이지 않으므로 이 때 수직방향의 편광필터를 사용하면 잘 볼 수 있게 된다.또한 전자시계 ... LCD에 적용된 편광원리LCD 모니터 제품은 위에서 설명한 편광현상을 이용하여 만들어진 디스플레이 제품이다.LCD 즉 액정디스플레이는 편광필름 사이에 전기신호에 따라 방향을 바꾸는 ... , 휴대폰, LCD 모니터 등과 같이 액정을 사용하는 모든 디스플레이 제품에는 편광필름이 포함되어 있어 액정의 배열에 따라서 빛을 차단 또는 투과시킨다.3.
    리포트 | 4페이지 | 1,000원 | 등록일 2016.08.29 | 수정일 2017.04.12
  • 한기대 전자공학 마프2
    추가적으로 온도 센서와 습도센서를 달아 물의 온도와 습도를 측정하고 그것을 LCD모듈로 보여 줄 것이다.- 소프트웨어 : 지난 학기 때 어셈블리어로 직접 짰던 시계(스탑워치, 세계시간 ... // 추가) Dot Matrix 이용한 시계 구현고려5. ... (); // lcd 초기화void LCD_String(char *str); // 문자열 출력 함수void Mode_Display(); // 모드 표시 함수void Time_Display
    리포트 | 27페이지 | 2,500원 | 등록일 2016.11.20 | 수정일 2017.09.11
  • 12주차 TFT-LCD 디스플레이의 원리 이해
    자기발광성이 없어 후광이 필요하지만 동작 전압이 낮아서 소비전력이 적고 휴대용으로 쓰일 수 있기 때문에 손목시계, 컴퓨터 등에 널리 쓰이고 있다.LCD는 구조에 따라 수동형과 능동형으로 ... 손목시계, 전자계산기처럼 간단하고 작은 장치에는 만들기 쉬운 수동형을 사용한다. 하지만 수동형은 응답속도가 느리고 높은 해상도로 만들기 어려운 단점이 있다. ... 대표적으로 TFT(Thin-Film Transistor)로 불리는 박막트랜지스터가 있다.(2) TFT-LCD의 구조 및 내용TFT-LCD란 초박막 액정표시장치로 액체와 고체의 중간
    리포트 | 2페이지 | 2,000원 | 등록일 2015.06.16
  • 물리학실험 예비결과레포트 구심력 측정(A+)
    실험방법1) 콘센트를 꽂고 LCD 모니터를 회전대 위에 장착해준다. ... 반대로 돌려 고정한다.5) 평형을 위해 반대쪽도 같은 위치로 조정한다.6) 추를 올려놓는다.7) 반대쪽도 같은 무게의 추를 올려놓는다.8) 전원을 켜기 전에 회전조절나사를 최대한 반시계방향으로 ... (회전대와 너무 가까우면 충돌위험이 있고 너무 멀면 센서 인식이 어렵다.)2) 기계 뒤측의 전원선을 LCD 모니터에 연결한다.3) 봉을 돌려 나사를 푼 뒤 추 받침대의 위치를 조정한다
    리포트 | 8페이지 | 1,000원 | 등록일 2018.08.30 | 수정일 2020.06.18
  • FPGA DE2보드를 이용해서 디지털시계만들기 (시계, 알람, 스톱워치기능 포함 )
    FPGA설계로 디지털시계를 구현하고, 그에 알맞은 스톱워치기능, 알림기능, 시간설정기능을 16 x 2 character LCD표시소자로 나타낸다.디지털시계- 디지털시계의 기능은 크게 ... VDHL기반으로 Quartus Ⅱ툴을 사용하여 설계하고 Altera DE-2 보드에 설계결과를 다운로드 후 동작여부를 7-Segment 소자 및 16x2 character LCD
    리포트 | 3,000원 | 등록일 2014.12.30
  • Spartan 3E Board를 사용하여 디지털 시계 만들기
    동작입력이 필요한 부분은 스위치 혹은 PUSH 버튼을 통해 받도록 하며 출력의 경우 LCD Interface이외에 LED도 사용한다.기본 동작시간, 분, 초가 화면에 표시된다.시계가 ... Spartan 3E에서 발생되는 50MHz의 Clock을 디지털 시계에서 사용되는 1Hz의 Clock과 Debouncing 회로, LCD출력 회로에서 사용되는 1kHz의 Clock을 ... _Toc280057387" iii.초, 분, 시 생성 및 Clock 생성 PAGEREF _Toc280057387 \h 7 Hyperlink \l "_Toc280057388" iv.LCD
    리포트 | 23페이지 | 5,000원 | 등록일 2011.10.30
  • 인하대 vlsi INTEL 정보 조사 레포트
    실제 제품인 1702는 그 후에 출시되었다.[1972] 인텔은 LCD 시계의 프로토타입의 작은 회사를 구매함으로써, 디지털 시계 시장에 뛰어들었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.22 | 수정일 2019.06.25
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:13 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기