• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(844)
  • 리포트(805)
  • 자기소개서(27)
  • 시험자료(7)
  • 논문(4)
  • 방송통신대(1)

"LCD시계" 검색결과 21-40 / 844건

  • 서울시립대학교(시립대) 전자전기컴퓨터설계실험2(전전설2) 파이널 프로젝트(Final Project)
    .● 두 번째 기능으로 설정한 시간을 적용한 디지털 시계를 만든다.● 세 번째 기능으로 스톱워치 기능을 만든다.● 네 번째 기능으로 알람 기능을 만든다.● 다섯 번째 기능으로 세계시간 ... 코드 설명module project (rst, clk, dipsw, button, lcd_e, lcd_rs, lcd_rw, piezo, lcd_data);input rst, clk; ... input [7:0] dipsw;input [10:0] button;output lcd_e, lcd_rs, lcd_rw, piezo;output [7:0] lcd_data;wire
    리포트 | 78페이지 | 1,500원 | 등록일 2023.11.12 | 수정일 2023.11.24
  • 소비자행동분석 리포트 / 똑같지만 다르다 - 시장신념 휴리스틱에 관하여
    바로 LG폰과 아이폰의 LCD가 같은 LG제품이라는 것. 즉, LG폰은 자회사의 LCD를, 아이폰은 외부수주를 통해서 LG의 LCD를 공급받는다. 같은 품질의 제품. ... 바로 이 명품시계라고 불리는 아르마니의 핵심부품이 중국산이라는 것이다. ... 시침, 분침 작동에 필수적인 부품인, 무브먼트가 중국에서 생산되고, 다른 싸구려 시계들에게도 사용되고 있다는 것.
    리포트 | 4페이지 | 2,000원 | 등록일 2021.01.04
  • [졸업작품보고서] UV-C 살균기 제작 / 제어계측공학전공
    이 때 출력되는 신호의 패턴을 확인하면 노브가 시계방향으로 돌았는지 반시계방향으로 돌았는지 알 수 있다.(4) LCD Display액정 디스플레이(Display) 또는 액정 표시장치 ... 로터리 인코더를 반시계방향으로 2바퀴 회전시키면 타이머 모드와 인터벌 모드의 전환이 일어난다. 은 타이머 모드 은 인터벌 모드일 때의 화면 표시이다. ... , 줄여서 LCD(Luid Crystal Display)는 디스플레이 장치의 하나이다.
    리포트 | 10페이지 | 7,000원 | 등록일 2022.04.14
  • 전전설2 파이널 프로젝트 디지털시계 (전자전기컴퓨터설계2 실험 파이널 프로젝트)
    디지털 시계 코드`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////// ... ,LCD_RS,LCD_RW;output [7:0] LCD_DATA;output PIEZO;wire PIEZO;wire LCD_E; // DECLARE WIRE / REGreg LCD_RS ... ,LCD_RS,LCD_RW,LCD_DATA,HOME,UP,DOWN,RIGHT,LEFT,C_UP,C_DOWN,BUTTON_ALARM, BUTTON_ALARM_SET, CLK_ALARM
    리포트 | 73페이지 | 5,000원 | 등록일 2019.11.09
  • [아두이노 프로젝트] 멜로디 알람 시계
    멜로디 알람 시계[목차]1. 구현 방법2. 회로도3. 소스 코드 해설4. 소스 코드+주석1. 구현방법브레드보드에 스위치를 연결한다. ... (); // I2C LCD를 초기화lcd.backlight(); // I2C LCD의 백라이트를 ONrtc.stopRTC(); //정지rtc.setTime(set_hour,set_min ... [소스 코드 해설]LCD를 쉽게 제어하기 위한 라이브러리를 추가했습니다. 0x27 I2C 주소를 가지고 있는 16x2 LCD객체를 생성합니다.수동으로 시간을 설정해주고 부저는 10번
    리포트 | 23페이지 | 10,000원 | 등록일 2021.06.16
  • 메타버스의 핵심기술 - happycampus
    .광학과 디스플레이 개인에게 최적화된 광학 구조 디자인이 가장 큰 도전 분야 시야각 ( Fov:Field of View) 아이박스의 크기 화질 밝기 초점의 심도 디스플레이 OED LCD공간음향 ... 가치 불편함을 넘어 습관이 되거나 대체 불가능한 본연의 기능성 안경을 써야만 앞을 볼 수 있다 애플워치 , 아이폰과 연동 등 2 번째 가치 보는 것이 아닌 나를 보여 주는 것 현재 시계
    리포트 | 8페이지 | 5,000원 | 등록일 2021.12.29
  • 디지털제품과 일반 아날로그제품을 선택해서 신제품 개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오
    LCD는 초수를 표시할 수 있지만 어두운 곳에서는 볼 수 없는 한계가 있다.2. ... 어렸을 때 우리는 손목시계를 열심히 읽는 듯한 손목시계를 가리킨다. 디지털 시계는 내부에 태엽이나 기어가 없고 배터리나 수정진동자 등 전자회로로만 구성된 전자시계다. ... 아날로그 시계 내부는 태엽과 톱니바퀴로 시계를 돌려 시간을 조절하고 디지털 시계는 자동으로 숫자를 표시한다. 그래서 우리가 읽을 시간을 찾는 것이 아니라 그냥 읽는 것입니다.
    리포트 | 7페이지 | 2,500원 | 등록일 2023.04.26
  • 전자노트의 콜레스테릭 액정 기술 탐구
    처음에는 전자시계나 계산기 등에 단색 화면으로 사용되었으며, 현재는 TV 등 다양한 영상기기용으로 발전했다.3. ... 일반적인 LCD는 하나의 화면을 나타내기 위해 연속적으로 전기를 소모하고 있어야 하는데, 콜레스테릭 액정은 쌍안정성이라는 특징을 갖고 있어서 전기를 소모하지 않고도 이미지를 유지할 ... 따라서 동일한 콜레스테릭 액정으로 만들어진 부기보드는 하나의 정해진 색으로만 글씨를 쓸 수 있다.핸드폰 LCD는 밝은 곳에서는 잘 보이지 않기 때문에, 밝은 곳일수록 백라이트를 더
    리포트 | 8페이지 | 2,000원 | 등록일 2023.09.17
  • [에리카A+] 마이크로프로세서응용 Project 상위권
    그리고 Case문이 = ' ';str[str_num] = '\0';mode = 3; // 3 (1~7) 시계, 광고문안 출력 모드TIMSK = 1 < OCIE1A;// Timer/Count1 ... 그리고 두 번째 줄에는 display_LCD_AD()를 통해 광고 문자열을 흐르도록 출력한다. ... 않는다.버튼을 다시 한번 눌러주면 다시 mode가 7로 바뀌면서 볼트미터가 시작된다.이때에도 LCD Display 가 작동을 한다.
    리포트 | 27페이지 | 7,900원 | 등록일 2020.04.02 | 수정일 2020.08.26
  • 디지털제품과 일반 아날로그제품을 선택해서 신제품 개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오(A+)
    한편 LCD는 초의 표시는 할 수 있으나, 어두운 곳에서는 보이지 않는다는 한계가 있다.2. ... 아날로그 시계들은 내부에 태엽과 기어로 시계를 돌리고 시간을 맞추는데 반면, 디지털 시계는 저절로 숫자가 뜬다. ... 어릴 때 우리는 시계를 읽을 려고 열심히 노력한 그런 시계를 말한다.디지털시계는 전자시계의 일종으로 내부에는 태엽이나 기어가 없고 전지나 수정진동자 등을 맞추어 넣은 전자회로만으로
    리포트 | 7페이지 | 2,000원 | 등록일 2022.10.02
  • [화공기초실험]빛의 편광실험
    그리고 투과광의 광손실률과 세기를 계산하는 역할을 맡았다.3.결과 및 고찰*광세기 단위 : ㎼(1) 실험 결과편광판을 시계방향으로 돌려가면서 10도씩 0~180도까지, 그리고 270도를 ... 레일위에 설치하여, 레이저와 편광판 사이의 거리, 편광판과 광파워미터 사이의 거리를 각각 10cm로 고정한다.(5)레이저 빛이 광 검출기의 중앙을 통과하는지 확인한 후에 편광판을 시계방향으로 ... 액정 표시장치로도 불리는 LCD는 인가전압에 따른 액정 투과도의 변화를 이용하여 각종 장치에서 발생하는 여러 가지 전기적인 정보를 시각정보로 변화시켜 전달하는 전기소자이다.
    리포트 | 9페이지 | 1,500원 | 등록일 2020.11.03
  • 부산대학교 기계공작법실습 보고서 CNC밀링
    마이크로 컴퓨터 지능형 제어 칩이 내장되어 있고 외부에 LCD 모니터도 있어서 작업 과정을 추적하여 모니터에 자동으로 기록 할 수 있다. ... Phase A가 작동을 멈추고 Phase B가 작동하면 회전자가 시계방향으로90 DEG 회전한다. 3단계를 보면 Phase B가 작동을 멈추고 Phase A가 다시 작동한다. ... 그리고 다시 브러쉬와 정류자가 만날 때 위의 과정을 반복하여 시계방향으로 계속 회전하게 된다. 즉, 정류자의 역할은 같은 방향으로 회전 할 수 있도록 해주는 역할을 하는 것이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.11.12
  • 기계공작법 정밀측정 (결과)
    반대 방향으로 조금 돌려 잠금을 해제한다.⑦ 조정 핸들 방향 확인 후 천천히 시계방향으로 돌려 검출기를 시편에 접촉시킨다.⑧ 검출기가 시편에 정상적으로 접촉되면 테이블을 수동으로 ... 맞춘다.④ 테이블을 잡은 상태에서 시편을 좌우로 돌려 중심을 정확히 맞춘다.⑤ 테이블 기준점에서 0°C 핸들 및 시편 1번 부분이 위치하도록 시편을 돌려 조정한다.⑥ 고정 핸들을 시계 ... 회전시켜 0° 위치 및 180° 위치에서 LCD 창에 표시된 값을 메모한 후 조정 후 0°(또는 90°) 값을 계산한다.⑨ 조정 후 0° 값 계산 후 반드시 0°C 핸들이 기준점에
    리포트 | 14페이지 | 2,000원 | 등록일 2021.11.13
  • 삼성전자 합격 자기소개서
    전기회로와 신호처리 이해를 바탕으로 자체전원공급기를 포함한 오실레이터, 디지털회로와 TEXT LCD를 구현한 디지털시계, 전자회로 설계를 바탕으로 전자피아노 등을 완성하였습니다.셋째 ... 현재 표준적인 디스플레이 기술인 LCD, 차세대 기술인 OLED와 QLED점차 사라져가고 있는 PDP에 관한 지식을 아우르려고 노력하고 있습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.07.13
  • LG전자 합격 자기소개서
    전기회로와 신호처리 이해를 바탕으로 자체전원공급기를 포함한 오실레이터, 디지털회로와 TEXT LCD를 구현한 디지털시계, 전자회로 설계를 바탕으로 전자피아노 등을 완성하였습니다.셋째 ... 현재 표준적인 디스플레이 기술인 LCD, 차세대 기술인 OLED와 점차 사라져가고 있는 PDP에 관한 지식을 아우르려고 노력하고 있습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.07.13
  • 인코더와 디코더 회로 예비 보고서(고찰포함)A+
    디스플레이 장치를 사용하는 출력을 나타내게 되는데, 시계나 전자 계산기 등이 좋은 예이다. 7-세그먼트는 디지털 신호에 의해 발광하는 LED가 7개의 세그먼트에 사용되는 디스플레이로 ... 기호나 알파벳 문자를 코드 화하기도 하고 기호나 숫자를 코드화 하는 것을 인코딩이라 한다.7-세그먼트 표시기(seven segment indicator)디지털 회로는 LED 또는 LCD같은
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 인코더와 디코더 회로 예비
    (Liquid Crystal Display)와 같은 디스플레이 장치를 사용하는 출력을 나타내게 되는데, 시계나 전자 계산기 등이 좋은 예이다. 7-세그먼트는 디지털 신호에 의해 발광하는 ... 적은 개수의 입력신호로부터 n개의 출력신호를 만든다.7-세그먼트 표시기(Seven Segment Indicator)디지털 회로는 LED(Light Emitting Diode) 또는 LCD
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.21
  • 반도체 공정 term project
    특히 반도체장비, LCD 장비, AMOLED장비, LED장비로 확장 중이다. ... 필터, 계기용 렌즈화 학 용내식성 조임쇠, 가스터어빈엔진 블레이드, 해상용 장치,밧데리 스트립장 식 용자동차의 내장과 외장, 완구, 화장용품, 인조 장신구,안경테, 포장 재료, 시계 ... 장비의 개선 방안국내 스퍼터 장비 업체들의 장점으로는 LCD 등 디스플레이 제조에 있어 공정시간을 단축하여 제품 생산량을 증가 가능하다는 것과 국내 IT 디바이스 선진 대기업 보유중인
    리포트 | 11페이지 | 5,000원 | 등록일 2023.06.22
  • 물리학 및 실험1 - 구심력 측정
    실험 방법(1) 실험기기 장치에 부착된 전원케이블을 그림(2)와 같이 LCD 표시장치에 연결 고정한다. ... 전원을 켜기전 꼭 볼륨을 시계 반대방향으로 끝까지 돌려놓아야 한다.실험자의 부상이 발생할 수 있으므로 반드시 숙지하고 실험한다.(5) 회전 주기를 달리하면서 위의 실험을 3회이상 반복 ... 회전 질량을 달리하면서, 위의 (2)~(5)의 과정을 5회 반복 측정한다.(8) 식 에 의해 계산된 구심력과 실험장치를 통해 측정된 구심력의 차이를 비교 확인한다.그림(2) - LCD표시장치그림
    리포트 | 8페이지 | 1,500원 | 등록일 2021.04.05 | 수정일 2023.03.23
  • 항공 전자 계기
    최근 대형화면으로 이루어진 최첨단 조종석 계기판은 음극선관 대신 액정표 시장치(LCD. liquid crystal display) 기술을 이용계기판 중앙에 EADI 정보를 전체 계기판 ... 기계전기 식인 비행 자세계와 정침의인 자세 지시계 대신 전자식자세지시계와 자세 지시계를 지시 하기 위해 최초로 음극선관(CRT)이 사용되었다. ... 지시하였다.이 지시계통은 작동 중 열이 많이 발생하는 등 신뢰성이 좋지 않은 2개의 음극선관(CRT)화면을 사용하였지만 최근 항공기에서는 전기소모량이 적고 신뢰성이 좋은 액정화면(LCD
    리포트 | 11페이지 | 1,000원 | 등록일 2020.06.11
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:34 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기