• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(9,238)
  • 리포트(8,365)
  • 자기소개서(452)
  • 시험자료(279)
  • 방송통신대(69)
  • 논문(43)
  • ppt테마(14)
  • 서식(13)
  • 이력서(2)
  • 노하우(1)

"cns" 검색결과 141-160 / 9,238건

  • 선거론 우리나라 선거제도
    C 구의 인구가 40 만 명 일 경우 선거구를 갑 , 을로 나누어 2 명을 선출4. ... 10 만 5 천 - 인구 상 한선 31 만 5 천 A 구와 B 구의 인구가 각각 10 만 명 일 경우 A,B 두 구를 합쳐서 1 개의 선거구로 1 명을 선출 헌법재판소 판례 A B C ... 투표 행태 ( sns ) 미국 대통령 오바마의 sns 적극 활용의 예 현실 정치에서 sns 의 활용은 상당히 적극적이다 .
    리포트 | 41페이지 | 2,000원 | 등록일 2023.05.30
  • 천식 케이스 간호과정 간호진단/ 가스교환장애, 비효과적 기도청결, 불안
    1mL q 8hr (nebulizer)• ventolin 2.5mg(1cc)+NS 1mL q 12hr (nebulizer)• Solumedrol 125mg IV x 1(2) Dr's ... order• Sputum culture & sensitivity• CBC, electrolytes, BUN, creatinine, glucose• Chest X-ray• if dyspnea ... monitoring & SpO2 monitoring• NPO• 최대 폐유량계(PEFR) 측정• ABGA• N/S 1L IV maintainong q 24hr• Atrovent 500mcg + NS
    리포트 | 29페이지 | 3,000원 | 등록일 2024.04.05 | 수정일 2024.04.07
  • [아주대학교 A+] 논리회로 기말고사 족보
    시간 제약(timing constraint)을 어기지 않고 회로가 정상적으로 동작하기 위한 Clock의 최소 주기(period)를 계산하시오.(2) State/output table을 ... Flip-flop의 propagation delay는 최대 3ns이고, 두 OR gates의 propagation delay는 최대 2ns로 동일하다. ... 입력 X와 출력 Z를 가진 아래의 state machine에 대해 물음에 답하시오. [30점](1) Clock의 setup time은 2ns이고 hold time은 1ns이다.
    시험자료 | 1페이지 | 2,000원 | 등록일 2023.07.04 | 수정일 2024.01.24
  • fpga bcdconverter
    이 구문오류를 해결 한 이후에 add_3module c1 ({0,b[7:5]},fir);으로 해 simulate를 동작했는데WARNING:HDLCompiler:189 - "C:\newfolder ... 입력을 8bit크기의 a, 출력을 3bit 크기의 data로 설정하였고우선 초기상태부터 30ns까지 a의 최상위비트(enable bit)를 0으로 설정하였고40ns부터 110ns까지는 ... Bench CodeSimulation Result0ns일 때 ->111일 때 units 7100ns일 때 -> 1100001 일때 tens 9 units 7200ns일 때 -> 11111001일
    리포트 | 20페이지 | 2,000원 | 등록일 2021.05.05
  • 디시설, 디지털시스템설계 실습과제 9주차 인하대
    파라미터로 4를 사용해 4비트 출력을 받도록 했다. clk신호는 20ns마다 0과 1을 출력하도록 설정했고, serial 입력 sin 은 30ns 마다 0과 1을 출력하도록 설정했다 ... load신호가 들어있지 않기 때문에 clk신호와 동기화 되어 출력되는 것이다. 120ns에 load가 1이 입력되고 din에 1111이 입력된다. ... 그리고 100ns 후에 4비트 din 신호 1111과 load신호 1을 입력해주고 30ns후에 load를 다시 0으로 바꿔준다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 디집적, 디지털집적회로설계 실습과제 13주차 인하대
    입력한대로 clock 신호는 100ns 주기로 입력되어진다. ... 입력한대로 clock 신호는 100ns 주기로 입력되어진다. ... 출력 S는 입력신호들이 D-FF를 지나온 신호로 연산이 되어진 결과이기 때문에 처음 100ns(첫번째 clk cycle)에는 아직 입력이 없다.
    리포트 | 17페이지 | 1,500원 | 등록일 2021.08.31
  • [일반물리실험 보고서] 광섬유를 이용한 빛의 속력 측정 결과보고서
    10m 길이의 광섬유 케이블 사용회t _{0.5m} [ns]t _{10m} [ns]v [m/s]c _{실험} [m/s]{c-c _{실험}} over {c} TIMES 100 [%]1 ... 20m 길이의 광섬유 케이블 사용회t _{0.5m} [ns]t _{20m} [ns]v [m/s]c _{실험} [m/s]{c-c _{실험}} over {c} TIMES 100 [%]1 ... t에 따른c _{실험}의 평균 오차율DELTA t [ns]오차율 [%]평균 오차율 [%]47.50.2640.2640.26448.01.271.2798.51.271.271.2799.01.601.60실험을
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.02
  • 항생제 종류별 구분, 주의사항 정리
    성 분 명제 품 명배설주의사항1세대cephalosporincefazolin세파졸린cefazolin세파졸린신배설-NS, 5DW mix가능-주사용수(멸균증류수) mix 안됨-그람 양성균에 ... Flushing)-E.Coli에 효과적(복막염, 요로감염 마지막 항생제로 사용)-IV 시 NS 50ml mix 하여 30분간 점적투여-IM 시 1% lidocane 3.2cc mix ... 침전(같은 central line의 다른 투입구도 X)-TPN에는 칼슘이 함유, 같은라인 금기-점적주사 시 30분이상 투여-트리손키트 ast: 0.1ml + NS 0.9mlcefotaxim세포탁심claforan크라포란신배설
    리포트 | 3페이지 | 2,500원 | 등록일 2022.05.25
  • 2020년 LG CNS 하반기 서류 합격 자소서
    그 결과 데이터 오류가 자주 발생하는 VB 기반 솔루션이 98%의 데이터 정확성을 갖는 C#솔루션으로 구축할 수 있었습니다. ... 또한, 고객사 오래된 솔루션 유지 보수하는 ‘Visual Basic 프로그램 C# Migration’ 프로젝트에 참여했습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.01.06
  • A+ 척추관협착증(spinal stenosis) 케이스스터디+간호진단2개
    일반정보실습병동 33(NS) 환자이름 박00 나이 71Y성별 M 입원일 22. 04. 00 퇴원일 미정진단명 Spinal stenosis, lumbar region수술명/수술날짜 22 ... 병력§ 주 호소(chief complaints): ① LBP ② both buttock pain§ 입원동기(present illness) : HTN, DM, CD medication ... 중인 자로 10년 전 본원에서 stent 시술했으며 허리 통증 있어 본원 NS에서 18,19년도에 시술했으나 호전되지 않아 수술 위해 외래 통해 입원함. § 과거병력(past history
    리포트 | 15페이지 | 6,000원 | 등록일 2024.05.07
  • [일반물리실험 2-광섬유를 이용한 빛의 속력 측정] 중앙대학교 전자전기공학부 1-2 A+ 결과보고서
    10m 길이의 광섬유 케이블 사용회t _{0.5m}(ns)t _{10m}(ns)v (m/s)c _{실험}(m/s){c-c _{실험}} over {c} TIMES100(%)115.063.01.98 ... 20m 길이의 광섬유 케이블 사용회t _{0.5m}(ns)t _{20m}(ns)v (m/s)c _{실험}(m/s){c-c _{실험}} over {c} TIMES100(%)160.01591.97 ... )t _{20m}(ns)v (m/s)c _{실험}{c-c _{실험}} over {c} TIMES100(%)2-(1)60.75158.252.00 TIMES10 ^{8}2.992 TIMES10
    리포트 | 5페이지 | 1,000원 | 등록일 2020.07.12 | 수정일 2020.07.14
  • [이력서] LG CNS 합격 자기소개서
    자기소개서성장과정저는 무남독녀 외동딸로 아버지와 어머니의 사랑과 가르침을 받으며 경상남도 울산광역시에서 초등학교를 비롯, 중·고등학교까지 학업을 마치고 아버지 직장 이전으로 인하여 20살때부터 대전에서 거주 하게 되었습니다.성격 및 장단점저는 긍정적이고 낙천적인 성격이..
    자기소개서 | 1페이지 | 5,000원 | 등록일 2022.09.16
  • LIG넥스원 HW 최종 합격 자기소개서(자소서)
    37ns로 줄였습니다. ... 위의 경험들을 통해 C, C++, Verilog, Python 등 프로그래밍 언어를 이용한 설계 역량을 쌓았습니다.PAGE \* MERGEFORMAT2 ... C언어와 HDL관련 5개 전공을 수강하며 쌓은 코딩 지식을 바탕으로, 학부연구생 활동에서 C++과 Verilog를 이용하여 HW를 설계, 검증, 테스트하여 이를 바탕으로 논문을 작성한
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.02.17
  • 신규간호사를 위한 검사 및 시술
    , 동의서, MN NPO, 시술전, NS500ml24G or chemoport, EKG유무- 의치, 틀니, 장신구 제거 확인, 치아상태 확인- W-C가능진정 시 보호자 상주, PT, ... , 동의서, MN NPO, 시술전, NS500ml24G or chemoport, 브로퓸IM(금기: 녹내장, BPH, 부정맥), EKG유무- 의치, 틀니, 장신구 제거 확인, 치아상태 ... , 동의서, MN NPO, 시술전, NS500ml24G or chemoport, EKG유무- 내시경실 연락오면 yal enema 시행(or glycerin enema)(1hr 전)-
    리포트 | 5페이지 | 2,000원 | 등록일 2024.02.29
  • NCLEX 아쳐,유월드 최신기출 성인간호[Gastrointestinal system GI, 소화기계]
    (NS)만 주입*수술 후 빈맥 OR 저혈압 시 수술 후 합병증(탈수, 출혈,폐혈증) 확인해야함 -> U/O 적절해도 V/S 안정을 위해 추가 NS 주입NG tube*salem sump ... , clay-color biliary obstructionmucus or pusulcerative colitisinfectious colitisgreasy, foamy,foul-smell ... + urge defecate 유발: 검사 후 72동안 chalky white 변 / 잔류바륨= 변비와 fecal impaction 유발Guaiac fecal occult blood
    시험자료 | 7페이지 | 8,000원 | 등록일 2024.05.21
  • 논리회로설계실험 9주차 counter설계
    flop을 이용한 ripple counter의 그래프이다. 20ns일 때 RESET 신호가 1에서 0으로 바뀌고 counter의 역할대로 0000에서 순서대로 0001, 0010, ... 이때 강의자료에서 ripple counter는 420ns에서 RESET 신호가 1이 되어도 계속 0100을 계 0000이 출력됨을 확인할 수 있었다. ... 돌린 결과 출력된 wave이다. 420ns일 때를 보면 RESET값이 0에서 1로 변한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    또한 conv 함수는 정수형과 관련된 변환일 경우만 붙고 나머지는 단순히 unsigned(A), std_logic(B) 이와 같은 방식으로 형변환을 한다는 사실을 추가적으로 찾을 수 ... 그런데 입력 신호를 std_logic이 아닌 unsigned형으로 해보려고 하니 xor, and, or 등의 연산이 정의되어 있지 않다는 오류 메시지가 떴다. conv 함수를 이용해보려 ... 여러 가지 방식이 가능하지만 여기서는 2진 Hamming Code로 오류 비트 1개를 교정하는 코드를 만들었다.기본적으로 Hamming code는 기존 메시지에서 패리티 비트를 만들어내고
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 성인시뮬레이션 아나필락시스 쇼크 시나리오
    손소독제 손위생 후 전완의 내측면을 안에서 바깥으로 5~8cm 소독? ... 맥박 측정, SpO₂ 측정, EKG 부착( 산소포화도 급격히 낮아졌을 때 nasal 로 주기,BP 떨어지면 nasal 주는 상태로 변형된 트렌델렌버그 해주기 )☆ 수시로 V/S check ... 약물 투약 하도록 하겠습니다.( 교수님이 양성·음성인지 말해줄 듯,음성이라고 하면 Amoxicillin 1.0g + NS 100ml IVS ?
    리포트 | 1페이지 | 2,000원 | 등록일 2024.01.24
  • IA thrombolysis 환자 간호
    cath. ... SU) 시술 갈 때 NS 1L 로 변경 Portable oxy, O2 tank환자 처치 확인사항 Post order med. ... IA thrombolysis Stroke unitIA thrombolysis 란 Intra arterial thrombolysis ( 동맥 내 혈전 용해술 ) 시행 부서 : NS 시행
    리포트 | 12페이지 | 1,500원 | 등록일 2022.10.29
  • 연세대학교 전기전자공학부 19-2학기 네트워크실험 3주차 결과 보고서
    여기서는 C:\Share를 공유 폴더로 선택하였다. ... 명령어를 입력하고 파일의 속성을 들어가 보니 날짜가 변경된 것을 확인할 수 있다.⑥ cp ? ... 파일을 복사하는 명령어$ cp newfile newfile2위 명령어를 입력하면 newfile이라는 이름의 파일을 newfile2라는 이름의 파일로 복사한다는 의미이다.
    리포트 | 14페이지 | 2,000원 | 등록일 2020.08.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:17 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대