• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(9,238)
  • 리포트(8,365)
  • 자기소개서(452)
  • 시험자료(279)
  • 방송통신대(69)
  • 논문(43)
  • ppt테마(14)
  • 서식(13)
  • 이력서(2)
  • 노하우(1)

"cns" 검색결과 181-200 / 9,238건

  • 디집적, 디지털집적회로설계 실습과제 9주차 인하대
    목표는 과 을 포함한 delay를 구하는 것이기 때문에 입력 carry cin은 0으로 설정해 두었고 inA, inB는 계산하기 편리하도록 이전의 NAND, XOR gate에서 사용했던 ... element들이 없기 때문에 전력은 음수가 나오거나 매우 작은 값이 출력된다.고찰이번과제는 HSPICE로 단순 회로 구동만을 검증하는 것이 아닌 propagation delay와 power consumption을 ... 코드에서 작성했던 대로 out의 첫번째 fall인 250ns 근처와 ina의 4번째 rise인 250ns 근처에서 가 측정되었고 ina의 세번째 fall인 300ns근처와 out의
    리포트 | 9페이지 | 1,500원 | 등록일 2021.08.31
  • 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    이때 fulladder_4의 내부 시그널 C로 객체들의 사이를 연결한다. ... 이때 시그널 C는 전가산기의 캐리 출력을 받아 다음 비트 가산기의 입력이 된다.Figure SEQ Figure \* ARABIC 2 4bit full adder를 구현한 코드Testbench ... 다음표는 각 시간에서 입력과 출력을 나타낸다.0ns1ns2ns3ns4ns5ns6ns7ns8ns9ns10ns11ns12nsX0101010110111011010101011011101101010101101110110101Y1001100110010100010001000010001000100001000100010000Ci0000011111000Su1110111001001111100110101110111010000111110011000101Co001000000000013ns14ns15ns16ns17ns18ns19ns20ns21ns22ns23ns24ns25nsX0101101110110101010110111011010101011011101101010101Y0000000000000000000000000000000000000000000000000000Ci0011111000001Su0101101111000110011011001100010101011011101101010110Co0000000000000위를
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • UWB(초광대역) 위치인식
    with chip. ... 37 μW Power Time 2 ns Peak (10 mW ) Average 37 μW LRP(Low Rate Pulse Repetition) : 적은 펄스 반복 과 높은 전력 ... MHz 대역폭 필터를 통과 시 0 dBm (=1 mW ) 제한 500 MHz 대역폭 필터를 통과 시 10 dBm (=10 mW ) 제한 1 ms Peak Power Time 2 ns
    리포트 | 73페이지 | 3,000원 | 등록일 2022.08.27 | 수정일 2022.08.31
  • 성인케이스스터디 응급실, 급성담낭염
    디크놀 90mg im done.- 9/10 08:30 f/u BT: 38.5℃- c.t chest, c.t abdomen(e) checked.- 9/10 09:50 ns100ml+데노간 ... . ns500ml iv started. ns100ml+안티모딕50mg mixed iv done. ... 2vial mixed ns100ml+멕쿨 10mg mixed.
    리포트 | 16페이지 | 2,000원 | 등록일 2023.10.19
  • 컴퓨터구조론 5판 2장 연습문제
    D)MUL : TOS ← (A + B) * (C ? ... : TOS ← CPUSH D : TOS ← DSUB : TOS ← (C ? ... D)POP X : M[X] ← TOS18.(1) AB+ C- D- E+(2) AB+ CD- * E+(3) AB* CD* + E-(4) AB- CDE*- F/ G/ * E*19.(1)
    시험자료 | 11페이지 | 1,500원 | 등록일 2020.05.12 | 수정일 2020.06.30
  • 정실, 정보통신기초설계실습2 9주차 결과보고서 인하대
    연산간격은 5ns로 설정했고 test bench에 코딩한 값대로 연산을 진행했다. 시뮬레이션파형의 위에서부터 A, B, C0, S 그리고 제일 아랫줄 Cout 순서다. ... 입력변수가 3개이므로 총 8가지의 경우의수가 나오고 연산간격을 1ns 로 설정했기 때문에 총 8ns 동안 연산이 진행된다. ... 설정했기 때문에 총 8ns동안 연산이 진행되었다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 디지털시스템설계실습 논리게이트 결과보고서
    입력 a,b는 160ns에서 입력 신호 값이 바뀌지만, 약 11ns가 지난 후에는 시뮬레이션 결과가 바뀐다. 그 이유는 설명하라.답 ) (1을 5V, 0을 0V 라고 가정한다.) ... 입력신호가 바뀌면 출력신호가 바로 바뀌지 못하고 지연되는 데 이는 회로 내 지연속도가 0.2V/ns 라고 가정 했을 때 5V에서 0V까지 가는데 걸리는 시간이 10ns가 걸리기 때문이다 ... (a) 다음 지점의 논리식을 표현하라.W = A’B’C’X = AB’C’Y = A’B’CZ = (A’B’C’) + (AB’C’) + (A’B’C)(b) 다음의 진리표를 작성하라.ABCWYZY00010010010011010000001100001000101101000011000001110000
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.16
  • 응급실실습 인수인계훈련
    IV 수액주입함(NS 1L, 50cc/hr)약물 처방에 따라 정맥주입함(panto, mix내역:ns 10, 1vial)보호자에게 DNR각서 받음(승압제 및 보존적 치료만 동의)10: ... , 1000cc), 40cc/hr (R:600cc)산소주입중 O2(L/min):4, 경로:nasal prong, SpO2:92%-->산소흡입량 변경(O2(L/min):2)10:50 ... 말단 전부 폐색) : 2016년 00병원 진단초기 처치주요 검사10:40 입구에서 fever 체크 후 이름 물어보며 의식 사정v/s 확인 140/80-63-20-37.4수액주입중(NS
    리포트 | 3페이지 | 1,000원 | 등록일 2024.08.21
  • 응급실 응급카트 약물
    심한 서맥 ( 50회/분 ) - NS200cc+NTG 5@ 5㎍ - 1.5cc/hr 시작 / 10㎍ - 3cc/hr 시작 / 15 ㎍ - 4.5cc/hr 시작 - NS90cc+NTG ... Adenosine) 6mg/2cc 발작성 심실상성 빈맥 (paroxysmal tachcardia - PSVT ) 사용함 6mg iv - NS 10cc bolus - 1~2분 내 반응 ... 없으면 12mg iv - NS 10cc bolus - 12mg iv OR 18mg 반복투여 *반드시 bouls 로 투여 = Fluid 짜주기 .. * EKG monitoring 및
    리포트 | 33페이지 | 2,500원 | 등록일 2021.04.06
  • (의사국시 압축요약) 외과 총론
    Colic , mid colic a. ○ IMA → Left colic, Sigmoid, superior rectal a.○ IIA → middle rectal a. • IIA ○ ... Ca, HCO3 (Lactate 형태로 있다가 전환됨) ▪ 가장 생리적 ▪ Met.alkalosis 에서는 금기 ○ 보충 수액의 선택 ▪ Gastric secretion : 0.9NS ... Gluteal a.○ Umbilical a.○ Obturator a. ○ Middle rectal a.• 수액 ○ 0.9 NS : Na, Cl ▪ 대량 투여시 dilutional acidosis
    리포트 | 10페이지 | 2,000원 | 등록일 2022.04.22
  • 광운대학교 전기공학실험 실험1. 기본 논리게이트 결과레포트 [참고용]
    또한 지연시간을 분석해보면 한칸 즉, 1나노 초(ns)이다. ... 또한 기본 논리소자를 사용하여 간단한 회로를 구성하고 측정하며, open-collector 타입의 IC의 사용법과 특성에 대해 숙달한다3. ... 게이트 입출력 파형을 비교해보면 게이트 동작이 원활하다. 1나노 초(ns) 정도로 지연시간도 비슷하다.
    리포트 | 12페이지 | 1,500원 | 등록일 2023.12.29 | 수정일 2024.01.06
  • 네이버 라인 서류 및 자기소개서 질의응답 (NAVER LINE 취업자소서 Q&A)
    언어 코드 이해- 웹 및 네트워크를 위한 리눅스 기반 Wireshark, NS-3, Mininet 시뮬레이션 활용 및 실험 분석- CentOS, Redhat, Window Server ... 위한 GO 언어 기본적인 구성도 이해- 라즈베리 파이 카메라 및 서버 소켓통신을 사용하기 위한 Github Python 코드 활용- ESP32 및 ESP-Mesh 를 이용하기 위한 C ... , Ubuntu 등을 이용한 NAC, IPS/IDS 운용 장비 및 C4I 보안 관리서울과학기술대학교 일반대학둰UCS 연구실2017.03.01 ~ 2019.02.22연구원정규대학원생으로서
    자기소개서 | 4페이지 | 3,900원 | 등록일 2020.10.19
  • 12. 수동소자의 고주파특성측정방법의 설계 결과보고서
    MHz1200 ns5 MHz1100 ns10 MHz0.66750 ns15 MHz0.550 ns20 MHz0.33350 ns약 10MHz의 주파수에서부터 이론과 실제가 달라지기 시작한다 ... 수동소자의 고주파특성측정방법의 설계◎요약: R= 9.92 kΩ, C=100 nF인 소자를 이용하여 둘이 직렬로 연결된 회로를 구성한 후 주파수 응답을 측정하였다. ... 소자인 기생 소자의 특성이 나타나게 된다거나 커패시터가 인덕터로 동작하고 인덕터가 커패시터의 성질을 나타내는 등 이상현상이 발생하게 된다.◎4.1R값은 9.92 kΩ, 커패시터 C의
    리포트 | 3페이지 | 1,500원 | 등록일 2022.03.05
  • 디시설, 디지털시스템설계 실습과제 8주차 인하대
    1인경우에만 borrow 가 1이 되도록 구현했다.test bench에서 위에서 구현한 모듈을 4비트로 설정해서 3개를 cascasde하여 구현했다. 10ns 마다 0, 1을 반복하는 ... 이후 W를 0으로 설정하여 추가로 값을 저장하지 못하도록 했다.시뮬레이션 결과를 보면, WR신호가 정상정으로 100ns동안 1이 출력 되었다. 50ns 단위로 주소 7과 4를 입력해 ... 다시 50ns후에 WR을 1로 설정하고 주소 값에 4를 저장 후 그 주소에 해당하는 element에 18을 저장했다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • ocs 인수인계
    CIA cap (마약) 1cap*3*21 매식후30분에 1포(정)씩 복용? Celebrex 200mg/Cap 1Cap*2*28 아침,저녁식후30분에 1포(정)씩 복용? ... /27)기타*** norspan 매주 화요일 9am (SELF)20200729* OP(-) PRC(2U) ANE(+/-) 수술전(+) * 수술갈때 160/90 perdi 1@ 20cc ... 환자기본정보진료정보김XxM / 68O+진료과신경외과입원일20진단명L4/5 stenosis주치의: 진료과장 :보험종류의료급여1종HD3식이 : 조식 - 금식consultIMP 호흡기내과ANE
    리포트 | 2페이지 | 1,000원 | 등록일 2022.08.26
  • [간호대생, 신규간호사를 위한] 외과(GS) 병동 간호사 Day, Eve, Night 루틴잡 정리
    count주사약 챙기기(D-트레이 위에/E,N-카 안에+NS100)Day inj. ... 환자 파악★수술예정환자-시간,동의서,차트 확인투석환자-투석 오전or오후인지 확인검사 있는 사람-이송표 만들어놓기V/S, BS 다 쟀는지, abnormal 확인lab 봐야할 사람 check ... Day inj 종이, 주사 list 출력- AST, NS100 적어주기5. lab 바코드 뽑기(6a lab, UA, ABGA)- 채취 확인!
    리포트 | 2페이지 | 2,000원 | 등록일 2023.07.18
  • [A+]다음 조합논리 회로에서 Critical Path를 정의하고 동작 주파수를 구하시오.(단, 각 논리 게이트 전파지연(Propagation Delay)은 NOT 게이트는 2ns, 2-input AND 게이트는 10ns, 2-input OR 게이트는 12ns, 2-input XOR 게이트는 20ns 라고 가정한다.
    해당 조합논리회로에서 critical path 정의Critical Path : 전파 지연의 합이 최대한 데이터 경로를 일컫는다.-> Critical Path = 20 + 2 + 10 ... , 2-inputAND 게이트는 10ns, 2-inputOR 게이트는 12ns, 2-inputXOR 게이트는 20ns 라고 가정한다. ... + 12 = 44ns01.
    리포트 | 2페이지 | 1,500원 | 등록일 2020.07.08
  • [전자계산기구조 과제]3개의 입력을 가지며 2개 이상의 입력이 High 레벨인 경우 출력이 High 레벨이 될 때 전파지연을 가지는 2입력 논리식을 표현하고 논리회로를 도식하시오
    A,B,C 입력이 곧바로 출력으로 변하지 않고, 전파지연이 있다는 것을 말한다. ... 레벨이 될 때 전파지연(Propagation Delay)을 가지는 2입력 논리식을 표현하고 논리회로를 도식하시오.과목명 : 전자계산기 구조학번 : ㅇㅇㅇ이름 : ㅇㅇㅇ1) A, B, C ... 거치면서 5ns 만큼 지연되고 OR 게이트를 지나면서 5ns만큼 지연되는 동안 맨 아래 BC입력 AND게이트를 통과한 값은 미리 OR 게이트에 도착한다.
    리포트 | 3페이지 | 2,500원 | 등록일 2020.05.18
  • 성인간호학 요약본
    정상범위- 중심정맥압(CVP) 4-12cmH₂O or 0-7mmHg- 폐모세혈관쐐기압(PCWP) 4-12mmHg- 흉관배액량 100mL/hr 미만- Hgb 12-16- HbA1c 5.7% ... , 락테이트(하트만)저장성 용액 0.2% or 0.45% NS고칼륨혈증넓고 평평해진 P파, 길어진 PR 간격, 뾰족하고 좁은 T파, QT 간격 감소, 넓은 QRS, 내려간 ST 분절 ... 외액량 과다 폐부종, 잦은 기침세포 내액량 결핍고나트륨혈증중추신경계 변화세포 내액량 과다저삼투성 용액, SIADH호흡수 증가, ICP 상승등장성 용액 5% 포도당 용액, 0.9% NS
    리포트 | 51페이지 | 12,000원 | 등록일 2023.08.30 | 수정일 2023.09.04
  • PROM 간호과정 - 양막 파열과 관련된 출혈 위험성
    8cc/hr로 IV infusion pump를 통해 주입함.5/1205:00Tracsiban 1cycle 8cc/hr 주입함.08:50Tracsiban 0.9ml 1AMP + NS ... Tracsiban 5ml 2vial + NS 90ml mix 24cc/hr infusion dropping 2cycle 주입함.4. ... 90ml IV 24cc-hr IV infusion pump 통해 주입함.5/1208:50Tracsiban 0.9ml 1AMP + NS 10ml mix IVS slowly 1분간 투여하고
    리포트 | 4페이지 | 1,500원 | 등록일 2021.03.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:21 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대