• 통큰쿠폰이벤트-통합
  • 통합검색(9,068)
  • 리포트(8,358)
  • 자기소개서(294)
  • 시험자료(277)
  • 방송통신대(69)
  • 논문(40)
  • ppt테마(14)
  • 서식(13)
  • 이력서(2)
  • 노하우(1)

"cns" 검색결과 241-260 / 9,068건

  • 논리회로설계실험_반가산기/전가산기 결과레포트
    schematic의 테스트 벤치 코드5) Wave Form(0~400ns 구간이 반복)0~50ns:S _{out} =(0 OPLUS 0) OPLUS 0=0 OPLUS 0=0,```C ... ~300ns:S _{out} =(1 OPLUS 0) OPLUS 1=1 OPLUS 1=0,```C _{out} =1(1 OPLUS 0)+0 BULLET 0=1+0=1300~350ns: ... S _{out} =(1 OPLUS 1) OPLUS 0=0 OPLUS 0=0,```C _{out} =0(1 OPLUS 1)+1 BULLET 1=0+1=1350~400ns:S _{out
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • 중앙대학교 전기회로설계실습 결과보고서 실습 12. 수동소자의 고주파특성측정방법의 설계
    설계실습 결과2.1 RC직렬 회로의 Transfer FunctionR = 10kΩ, C = 100nF이 직렬로 연결된 RC직렬 회로의 주파수 응답을 측정하였다. ... ㎒4.764.514ns3.5㎒5.074.554ns3.8㎒5.284.512ns3.95㎒5.444.501.6ns4.1㎒5.484.514ns4.4㎒5.674.484ns5㎒6.034.234ns6 ... ㎒6.804.037.2ns7㎒7.553.208ns10㎒5.882.5515.4ns11㎒4.923.8816ns11.4㎒4.284.3216ns12㎒3.754.9220ns13㎒35.2324ns15
    리포트 | 7페이지 | 2,000원 | 등록일 2020.09.04
  • 논리회로설계실험 2주차 XNOR gate 설계
    또한 AB가 순서대로 T=20ns 이전에는 00, T=20ns 일 때 01로 변하고, T=30ns 일 때 10, T=40ns 일 때 11의 순서로 변하고 delay없이 XNOR_D, ... XNOR gate를 구현할 때, W2 강의에서 배운 NOR gate의 세 가지 방식 구현방법과 skeleton code를 참고하였다. ... Behavioral model은 C언어와 유사하게 조건문을 사용하여 case by case로 분기한 형태를 나타내고 있다.
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • 소셜미디어 서비스 산업 사례+대본
    ㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴㄴ 국내 sns 이용자들은 계속해서 증가하고 있습니다 . sns 로 공유하는 현상이 좀 더 커질수록 sns 을 하지 않는 사람들도 ... sns 에 관심을 가지게됩니다 . ... 페이스북처럼 매출액의 90 퍼센트가 광고로 이루어진 sns 는 꾸준히 증가하는 사용자로 인해 기업들이 sns 을 이용한 광고를 중요하게 생각하고 있다는 것을 보여준다 .
    리포트 | 18페이지 | 3,500원 | 등록일 2020.11.16 | 수정일 2021.07.05
  • A+) 성인간호학 Case Study 낙상위험성 간호과정 (간호진단 1, 간호과정9)
    stomol 10mg c N/S 100 IV ? necupam inj. 20mg/2mL 1a c NS100ml IVS ? ... Bropium inj. 10mg 1a c NS 100ml IVS 마약성 진통제를 처음 복용하거나 용량을 늘리면 변비, 구역, 구토, 졸림, 정신혼미, 호흡 느려짐 등의 부작용이 나타날 ... c/c: pain, flank ? 이동 시 보조수단 도움 필요함 ? CVA tenderness: Rt. Yes ? 혼자서 걸을 수 없음.
    리포트 | 2페이지 | 1,500원 | 등록일 2024.06.30 | 수정일 2024.09.04
  • A+ 성인간호학실습, 급성 신부전, AKI (Acute Kideny Injury) 케이스스터디 (문헌고찰, 간호진단3개 등)
    보급제13) 약물(주사제)4/23)NS 500ml IVFJW NS inj 100ml+Tridol inj 50mgNS 50ml+Tridol inj 50mg4/27)JW NS inj 100ml ... , Tridol inj 50mgNS 1L IVFD-Mannitol Daihan 100ml IV→ 이뇨제JW NS inj → 수분 및 전해질 보급제, 주사제의 용해 희석제NS 1L5% ... 24시간 요수집 검사를 통하여 측정하기도 하고, 나이/성와 무뇨기가 오는데 소변량이 400cc/day이하로 감소되어 평균 8~15일 정도 지속?
    리포트 | 10페이지 | 3,000원 | 등록일 2023.05.04 | 수정일 2023.07.14
  • SNS Essay
    feel connected to a sense of community. ... The causes of SNS addiction are numerous and complex. ... Six years ago, one of my high school classmates killed his or herself because of communicating online
    리포트 | 7페이지 | 3,000원 | 등록일 2019.10.15
  • Database construction of small–molecule inhibitors as bovine viral diarrhea virus (BVDV) RNA-dependent RNA polymerase: a cheminformatics approach
    충북대학교 동물의학연구소 Han-HaChai, Bong-HwanChoi, Jong-EunPark, Ui-HyungKim, Yong-MinCho, DajeongLim
    논문 | 11페이지 | 4,200원 | 등록일 2023.04.05 | 수정일 2023.06.05
  • 컴퓨터 구조론 5판 5장 연습문제
    번째 단어인 ‘e’가 CPU로 읽혀진다.5.24(1) 캐시 미스, 캐시 교체 알고리즘에 따라서 2번 세트의 두 라인 중 하나의 라인의 태그는 ‘110’으로 교체되고, 데이터는 ‘comp ... × 0.4 + 100ns × 0.664ns60%10ns × 0.6 + 100ns × 0.446ns80%10ns × 0.8 + 100ns × 0.228ns100%10ns × 1 + ... ML1의 적중률)ML1에 대한 적중률식평균 기억장치 액세스 시간0%10ns × 0 + 100ns × 1100ns20%10ns × 0.2 + 100ns × 0.882ns40%10ns
    리포트 | 11페이지 | 1,500원 | 등록일 2020.01.13 | 수정일 2020.06.04
  • 간호관리실습 환자안전 투약 과제 A+
    환자에게 500cc NS(생리식염수)IV 수액을 연결하라는 의사의 처치가 있을 경우- NS 500cc와 투약 카드를 보고 환자에게 적합한 약 스티커를 환자 수액에 부착함- 500cc ... NS, 수액 세트 일자형 주사 세트와 익스텐전(주사주입기구)준비함- 깨끗한 환경 마련 후 500cc NS를 개봉한다. ... 250cc 수액- 0.9% NS(생리식염수)- 주로 당뇨환자에게는 생리식염수 수액을 주입?
    리포트 | 6페이지 | 2,500원 | 등록일 2020.11.18
  • (6주차)Project #1 초음파 센서
    )를 받아야만 작동하기 때문에 NE555타이머를 이용하여 5ns신호가 발생하도록 하였다.5ns를 맞추기 위해서 저항값을 계속 변화시키며 5ns값을 맞추었다.실험 2 : (초음파센서 ... 거리측정) – 회로는 꾸몄으나 결과값이 안나와 A반 1조 결과 인용 하였습니다.1) 회로도2) 실험 결과(1) 5cm(2) 10cm(3) 15cm(4) 20cm(4) 25cm실험결과분석 ... 2 : ( NE555 회로 실험 )실험결과분석 : 실험1에서 초음파센서에 일정한 전압을 인가하기 위해서 레귤레이터로 회로를 꾸몄다면 초음파 센서는 일정의 트리거 신호를 받아야만(5ns
    리포트 | 7페이지 | 1,500원 | 등록일 2020.02.22 | 수정일 2020.05.14
  • 2020년 SK 자기소개서 및 SKT 인프라 개발자 경험기술서 (SKT Junior Talent)
    "CF-CloudOrch: container fog node-based cloud orchestration for IoT networks." ... /연구주제/논문출판 (2017.03 ~ 2019.02)  (학위논문) IoT 네트워크를 위한 HVSDN 기반 포그 컴퓨팅 아키텍처 (2019.02) - 이 연구주제는 SDN, NS
    자기소개서 | 4페이지 | 4,400원 | 등록일 2020.04.12 | 수정일 2022.10.19
  • [논리회로설계실험]VHDL을 활용한 LCD설계
    process로, 앞서 만든 100khz 클럭을 200번 세서, 5000ns*200=1ms 간격으로 0과 1이 반복된다.2-3) process(FPGA_RSTB, clk_50, lcd_cnt ... _100k)-100khz클럭 설정100khz의 클럭을 설정하는 process로, 클럭 카운트 값을 이용하여, 현재 250ns(4Mhz)의 클럭을 쓰고 있으므로, 250ns*20=5000ns로 ... _100k)본래의 4Mhz의 클럭을 이용하여, 100Khz의 클럭을 만드는 과정이다. 250ns의 주기를 가진 클럭을 20개를 카운트(cnt_100k) 하여 조건문을 만들고, 카운트
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • 디코더, mux, comprator, 4비트 감가산기
    그리고 overflow c[3]^c[2]를 통해서 상위 C값만을 연산 받도록 하였습니다.간격은 1ns로 하였고 입력 값(a,b,op)를 선언해주었습니다. ... 그리고 출력값 Y는 위의 식의 연산을 통해서 구해집니다.시간 간격은 1ns로 해주었고 입력값 6개를 reg로 선언해주었습니다.I0~I3를 ct1_input값으로 s1,s0값을 ct1 ... 4가지 출력값을 도출 하였습니다.이를 응용해서 BCD 2진법 입력값 4개를 이용해서 7segment Display방식을 이용해서 숫자를 출력 할 수도 있습니다.여기에서 간격은 1ns
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 췌장염/케이스스터디/간호진단/간호과정/급성통증 할인자료
    정맥주사 부위가 붓거나 열감이 있는지 또는 고정이 잘 되어 있는지 확인하였다.개방성 여부도 확인하기 위해 Ns 10cc 사용하여 관류 및 역류를 시행하였다.5.급성췌장염 발생시 혈관투과성의 ... 20mg 2V + NS 100ml IVTridol 50mg 3A + NS 150ml IVPrn)Pethidine 25mg IVPrn)Pehtidine 50mg SC라운딩마다 대상자의 ... /hr IVHS 1L 40cc/hr IVNS 1L 40cc/hr IVNewdizime 1g 3V # 3 IVFuthan 10mg 6V + 5% DW 300ml # 3 IVGaster
    리포트 | 5페이지 | 1,000원 (10%↓) 900원 | 등록일 2023.08.10 | 수정일 2023.11.02
  • 디지털시스템설계실습_HW_WEEK8
    값이 병렬적으로 실행되었음을 알 수 있다. qout=1111이 된 것을 확인할 수 있고, 다시 clk과 sin에 맞춰 값이 변동되는 것을 확인할 수 있다. 260ns에서 load가 ... • Simulation Result결과를 보면, din의 초기값으로 0000이 들어왔고, clk과 sin에 맞춰 1씩 shift 되다가 load가 활성화되었을 때, din=1111의 ... .16x8bit Register file 은 4bit의 address, 8bit의 data크기, 16개의 저장공간을 갖고 있는 구조였고, 이는 wr_enable이 활성화 되었을 때, clk의
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.11
  • 신우신염 케이스 스터디 - 간호학과/신우신염/성인내과/신장내과/IMN/APN/성인간호학/실습
    (macperan은 CNS의 chemoreceptor trigger zone에서 dopamine을 차단하여 구토를 억제한다.)- 처방된 macperan 1A + NS 50mg을 5right ... (NPO하여 음식을 섭취하지 못해 TPN으로 대신 영양보충을 한다.)- 처방된 Olimel 40cc를 5right(약품명, 약품 용량, 투여 경로, 환자정보, 날짜) 확인 후 IV로 ... 50mg + NS 500ml IVprn Pethidinine 25mg + NS 100ml IVESR 106 mm/hr ▲HS-CRP 10.33 mg/dl ▲주호소 : both flank
    리포트 | 11페이지 | 2,500원 | 등록일 2021.06.21 | 수정일 2021.09.27
  • BMW Korea 2022 합격 자소서
    more effective by using various sns. ... I was worried if I could express the humor code of other countries well, but I was proud to see them ... social contribution.
    자기소개서 | 2페이지 | 4,500원 | 등록일 2023.07.18
  • 임상 신규간호사 항생제 종류(+AST시행유무) 공부자료
    세라돌은 세포티암으로 AST를 뜨는데 이미 희석되어 나와서 NS 주입하고 바로 빼내어 준비한다. cefoxitin(파세틴), cefouperazone(진페라존), cefotetan- ... < 임상 신규간호사 항생제 공부 >10mm이상 positive5~9mm 위양성 (반대부위 NS로 대조)5mm미만 nagative--------------------------■ AST를 ... Cephalosporins (세팔로스포린,세파 계열)- 1세대 : ceftezole(세프테졸,세트라졸),cefazedone sodium(파지돈)- 2세대:cefotiam(곰티암,세라돌
    리포트 | 2페이지 | 1,000원 | 등록일 2020.11.22
  • 정맥 내 삽입장치 종류 및 간호
    NS500ml연결, 조영제 부작용 확인, sand bag prep, PLT50k이상이여야 함, aPPT확인시술 후 간호: 4hr sand bag apply, npo cut, 출혈여부 ... - 채혈 되도록 하지 않도록 한다.- 주사바늘 교환: 7일 마다- 소독주기: 1회/1주일-테가덤, 1회/2일-거즈시술 시 준비사항RA: 6hr NPO, 동의서, 시술전, 24G, NS500ml ... flushing(휴온스가 없다면 N/S 10ml + 한림헤파린 0.2cc mix) > clamping하기 > fluid 및 헤파린캡 제거> flushing할 주사기 연결 > clamping풀기
    리포트 | 3페이지 | 1,500원 | 등록일 2024.02.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:05 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대