• 통큰쿠폰이벤트-통합
  • 통합검색(321)
  • 리포트(317)
  • 시험자료(4)

"half/full adder" 검색결과 141-160 / 321건

  • 실험2 제05주 Lab03 Pre Gate Primitive & Behavioral Modeling
    Half adder를 Gate Primitive Modeling으로 설계하였다. >< 미리 설계한 Half adder instance 2개를 이용하여 1-bit Full adder를Gate ... Predata of this Lab1) Lab 1 of 1-bit Full adder in Gate primitive modeling2) Lab 2 of 1-bit Full adder ... Predata of this Labs1) Lab 1 of 1-bit Full adder in Gate primitive modeling< 1-bit Full adder를 설계하기 전에
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 아주대 논리회로실험 실험예비3 가산기와 감산기(Adder & Subtractor)
    가산기와 감산기(Adder & Subtractor) 예비보고서● 이론(1) 반가산기(Half adder)두 개의 2진수를 더하여 합(Sum) S 와 자리 올림(Carry) C를 출력하는 ... 조합논리 회로입력출력xyCS0*************10(2) 전가산기(Full adder)두 개의 2진수와 아랫자리의 자리 올림을 더하여 합(Sum) S 와 자리 올림(Carry ... ) C를 출력하는 조합논리 회로입력출력xyzCS0000000101010010111010001101101101011111(3) 반감산기(Half-substractors)두 개의 2진수를
    리포트 | 8페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 전전컴설계실험2-4주차결과
    -Lab 2Half_Adder1. Xilinx ISE S/W 사용한다.2. Full-Adder를 Synthesize - XST Compile 과정을 거쳐 컴파일한다3. ... -Lab 44-bit-Full_Adder1. Xilinx ISE S/W 의 Project에 Schematic 파일을 추가하여 Full-Adder를 로직 설계한다.. ... 이때 전에 만든 1-bit-Full_Adder를 4개 연결하여 로직 설계한다.2. Full-Adder를 Synthesize - XST Compile 과정을 거쳐 컴파일한다3.
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 서강대학교 디지털논리회로실험 5주차결과
    Half Adde위의 진리표에 따라 Logic equation을 얻은 다음, 간단한 게이트의 조합으로 Half adder를 구성할 수 있다. ③ Full Adder● 1 ... 실험 목적● Arithmetic comparator의 동작원리를 이해한다.● Half-adderfull-adder의 동작원리를 이해한다.● ALU (74x181) 소자의 기능을 ... Full-adder의 구성은 3개의 입력pin과 2개의 출력pin으로 이루어져있다. 출력핀은 Sum(S)와 COUT(Carry out)이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.01.02
  • [컴퓨터공학기초설계및실험1 예비레포트] 반가산기.전가산기.반감산기.전감산기
    컴퓨터 공학 기초 설계 및 실험1예비보고서실험제목:반가산기 · 반감산기 (예비)전가산기 · 전감산기 (예비)예비보고서제목 및 목적제목반가산기(Half Adder)와 반감산기(Half ... 전감산기 회로도도 마찬가지로 반감산기가 2개 있다.참고문헌전가산기(Full adder)/http://blog.naver.com/asd7979? ... cid=209&docId=824606&mobile&categoryId=209이원석,정길수/논리회로실험/생능출판사/2010.3.5예비보고서제목 및 목적제목전가산기(Full Adder)
    리포트 | 6페이지 | 1,000원 | 등록일 2015.03.16
  • 실험3예비 Adder&Subtracter
    ) Full Adder(전가산기)- 전가산기 회로는 2개의 비트 A,B와 자리올림 Ci를 더해 합 S와 Co를 출력하는 조합회로- 반가산기 2개를 사용하여 전가산기 구성3) Half ... 요약반가산기입력출력ABSC*************1011) Half Adder(반가산기)S= bar{A} B+A bar{B}#C=AB반가산기 회로를 위와 같이 구성한다. ... 이론1) Half Adder(반가산기)- 반가산기 회로는 2진수 덧셈에서 맨 오른쪽 자리 계산을 위해 사용- 2개의 비트 A와 B를 더해 합 S와 자리올림 Co를 출력하는 조합 회로2
    리포트 | 4페이지 | 2,000원 | 등록일 2014.05.13
  • 논리회로실험) 가산기 및 감산기 예비보고서
    ( FA : Full adder )- 전가산기는 Full adder 로서 반가산기를 포함한 회로이다. ... 가산기는 반가산기와 전가산기로 구분할 수 있다.① 반가산기( HA ; half adder )- 반가산기는 2개의 2진수 x와 y의 논리 변수를 XOR 그리고 AND 게이트 두 개를 ... Input 은 반가산기에 ci가 더해지고, Output은 동일하다. ci 는 Cin을 의미한다.- 전가산기를 논리식과 진리표로 나타내면 다음과 같다.전가산기 ( FA : Full Adder
    리포트 | 4페이지 | 2,000원 | 등록일 2014.01.06
  • 실험3결과 ADD&SUB
    실험 결과1) Half-adderS= bar{A} B+A bar{B}#C=AB2진수 덧셈에서 맨 오른쪽 자리 계산을 위해 사용하는 반가산기 회로이다. 2개의 비트 A와 B를 더해 합 ... 예상과 정확하게 일치하는 결과를 얻었다.(0 0 0 0) (0 1 1 0) (1 0 1 0) (1 1 0 1)2) Full-adderS= bar{AB} C _{i} + bar{A} ... Ripple-carry adder, Carry-lookahead adder, Carry save adder등이 있었다.
    리포트 | 5페이지 | 3,000원 | 등록일 2014.05.13
  • 아주대 논회실 실험3 예비보고서.hwp
    실험이론1) Half adder(반가산기)※ S는 합(sum)을 나타내고 C는 올림수(carry)를 나타낸다. 이때 불린 equation에서 ? ... 는 XOR게이트를 뜻한다.2) Full adder(전가산기)(x=S, y=C)※ S는 합(sum)을 나타내고 C는 올림수(carry)를 나타낸다. 이때 불린 equation에서 ? ... 는 XOR게이트를 뜻한다.3) Half Subtracter(반감산기)※ D는 출력을 나타내고 B는 빌려온 것을 표시한다.이때 D를x OPLUS y로 쓸수도 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 아주대학교 논리회로실험 실험3 예비보고서
    Half Adder(반가산기)1. XOR gate 1개, AND gate 1개를 이용하여 Bread Board에 그림과 같이 회로를 구성한다.2. ... Full Adder(전가산기)1. XOR gate 2개, AND gate 2개, OR gate 1개를 이용하여 Bread Board에 그림과 같이 회로를 구성한다.2. ... 즉 1+1인 경우에는 합이0이고 Carry가 1이 된다.)Full Adder (전가산기)논리게이트를 이용하여 2개의 비트 와 자리올림C _{i`n`} 을 더해 SumC _{out}을
    리포트 | 7페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • 실험2 제02주 Lab01 Post Logic Circuit(XOR,OR,AND,FA,HA)
    그러므로 1bit 덧셈기 Half adder를 통해 만든 Full adder를 여러 개 사용하면 2 bit 이상의 덧셈기를 제작할 수 있음을 알 수 있었다.4. ... 마지막으로 Lab 4의 실험결과를 종합하여 확인한 결과, Full adder 역시 Half adder와 마찬가지로 SUM bit과 Carrybit이 작동하는 것을 확인 할 수 있었다 ... adder)4) Measured data and description of Lab 4 (Full adder)3.
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 전전컴실험Ⅱ 06반 제03주 Lab#02 [『HBE-ComboⅡ-SE』, 『Xilinx ISE』] 결과 보고서
    -FULL ADDER와 4 BIT FULL ADDERHALF ADDER를 소자화 시켜서 구성했기 때문에 HALF ADDER 만 잘 만들었으면, 큰 어려움 없이 끝나는 실험 이였다 ... adder두개의 HALF ADDER와 OR게이트로 구현한FULL ADDER는 오른쪽 진리표와 같이입력 값이 (1, 0, 1)일 때 출력은 되지않고 CARRY 값이 1, 입력값이 ( ... -half adderxyCF0000010110011110HALF ADDER는 XOR게이트에 AND 게이트만추가한 구조로, 위의 사진에서 확인해 보면LED8 번의 점등은 XOR게이트의
    리포트 | 17페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 정보응용실험 - Component 문 전가산기설계
    std_logic); end half_adder; architecture sample of half_adder is begin s ... ; library ieee; use ieee.std_logic_1164.all; entity full_adder is port( a, b, c_in : in std_logic; c_out ... library ieee; use ieee.std_logic_1164.all; entity half_adder is port( a, b : in std_logic; c, s : out
    리포트 | 2페이지 | 2,000원 | 등록일 2013.06.08
  • 전전컴설계실험2-5주차결과
    즉, 가수(added), 피가수(augend), 올림수(carry)를 표시하는 세 가지 입력(input)을 「합」과 「올림수」 두 가지 출력으로서 출력하는 전가산기는 반가산기(half-adder ... Backgrounds for this Lab-Full_Adder가산 기능. ... )에서는 고려되지 않았던 하위의 가산 결과로부터 올림수를 처리할 수 있도록 한 회로이며, 일반적으로는 가산기 두 가지와 올림수용의 회로로 구성되어 있다.(1bit Full Adder
    리포트 | 23페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전전컴설계실험2-5주차예비
    docId=849943" 반가산기(half-adder)에서는 고려되지 않았던 하위의 가산 결과로부터 올림수를 처리할 수 있도록 한 회로이며, 일반적으로는 가산기 두 가지와 올림수용의 ... Backgrounds for this Lab-Full_Adder가산 기능. ... 회로로 구성되어 있다.(1bit Full Adder Logic Diagram)(1bit Full Adder 진리표)ABZ(C in)SCout0*************00110110010101011100111111
    리포트 | 14페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 실험2 제02주 Lab01 Pre Logic Circuit(XOR,OR,AND,FA,HA)
    Full adderHalf adder 회로 2개와 OR gate로 구현할 수 있었다. ... Predata of this Lab1) Lab 1 of OR gate2) Lab 2 of XOR gate3) Lab 3 of Half adder4) Lab 4 of Full adder4 ... 마지막으로 전가산기(Full adder) 회로를 구현해보았는데, 중요한 사실을 알 수 있었다.
    리포트 | 7페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 조합 논리 회로의 설계
    )에는 반가산기(half adder)와 전가산기(full adder)가 있다. ... 그림 5-11에 디멀티플렉서의 블럭도와 스위치에 의한 작동을 보인다.디멀티플렉서는 그림 5-12와 같이 인에이블 단자가 있는 복호기를 사용하여 만들 수 있다.5.5 가산기가산기(adder ... x'z + yz5.7 4비트 2진 가산기와 감산기계산 과정은 다음과 같다.Subscript i = 4 3 2 1 liter러한 가산기를 리플 캐리 가산기(ripple carry adder
    리포트 | 20페이지 | 5,000원 | 등록일 2017.12.31
  • 결과 가산기 & 감산기
    실험1) 반가산기Setting: 전압공급기로 공급전압V _{CC} =5V 공급Breadboard상의 오른쪽 노드를V _{CC}(입력=1), 왼쪽을 GND(입력=0)로 설정다이오드 2개를 사용하여 합(S)과 올림수(C)를 표현BVccGNDSCMeasurementA? 입력..
    리포트 | 6페이지 | 2,000원 | 등록일 2013.12.26
  • 기본 논리 함수 및 gate와 가산기 결과 report
    .- 위회로는 2비트 Half Adder 반가산기 회로이다. ... 이것을 반가산기(Half Adder)라고 하며, 반가산기는 실험 4와 같이 1개의 XOR과 1개의 AND 게이트로서 실현될 수 있다.4. ... Adder를 만든 회로이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.06.26
  • [아주대]논회실 결과3
    실험과정 및 결과(1) 반가산기(half adder : HA)입 력출 력XYSC*************101- XOR gate(7468)와 AND gate(7408)를이용하여 반가산기 ... C는 carry-out을 나타내는 출력으로, 두 개의 입력이 1이 들어와야 1을 출력하기 때문에 AND gate를 사용한 것이다.(2) 전가산기(full adder : FA)입 력출 ... 출력 C는 carry-out으로 자리올림수를 의미하고, 출력 D는 X-Y-Z의 결과라고 할 수 있다.(3) 반감산기(Half-substractors)입 력출 력XYDB0000011110101100
    리포트 | 4페이지 | 1,000원 | 등록일 2014.09.04
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:47 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대