• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(235)
  • 리포트(228)
  • 자기소개서(6)
  • 시험자료(1)

"verilog adder" 검색결과 1-20 / 235건

  • Verilog를 이용한 고성능의 16비트 adder를 설계
    마이크로프로세서 Adder 설계2000 년 0 월 00 일마이크로프로세서1. 설계목적Verilog HDL을 이용하여 고성능의 16비트 adder를 설계한다.2. ... 결과분석Verilog HDL waveform은 다음과 같다. ... 발생하는 지연시간은 연산 path에 따라 다소 길어질 수 있으나 logic level이 절반으로 줄어들어 연산 path에 따라 유동적이긴 하나 지연시간을 감소시킬 수 있었다.실제로 Verilog
    리포트 | 3페이지 | 1,500원 | 등록일 2020.04.15
  • 4 bit adder / 4:1 multiplexor / 2bit to 4bit decoder 설계과제 (verilog)
    1. 4bit adder1-bit 전가산기를 설계하여 4개를 결합, 4bit 가산기를 만든다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 시립대 전자전기컴퓨터 마이크로프로세서 Verilog를 통한 41 mux, ripple carry adder 구현
    마이크로프로세서 과제Verilog를 통한 4:1 mux, ripple carry adder 구현Major전자전기컴퓨터공학부Subject마이크로프로세서ProfessorStudent ID ... verilog로 구현하는 것이었다. ... 또한 full adder의 코드와 ripple carry adder 코드를 한 페이지에 적어 시뮬레이션을 돌리니 loading error design이라는 오류가 발생하였다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.04.12 | 수정일 2021.04.16
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 half, full, 4-bit adder
    실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(4-bit Adder)]2. ... 하지만 회로상에서 3개 입력이 대칭되어 있다고 할 수 없다.-4-bit adder-Verilog 문법initial , always block 은 모두 행동 모델링을 구성하기 위한 가장 ... Verilog HDL을 이용하여 설계하고, FPGA를 통하여 검증하는 방법을 익힌다.3.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.06.20
  • 16bit 가산기 / 16bit adder / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    설계방법 설계한 16-bit adder는 add16을 root module로 하고, 4개의 sub-module인 add4로 구성되어 있다. ... 모듈의 Hierarchical structure는 이와 같고, sub module들은 개별 file(*.v)로 저장했다. 16-bit adder의 입력은 16 bit augend ... 각 add4 module은 2개의 sub-module인 add2로 구성되어 있고, 각 add2는 2개의 sub-module인 fa(1bit full adder)로 구성되어 있다. add16
    리포트 | 3페이지 | 2,000원 | 등록일 2020.10.17
  • 디지털논리회로실험(Verilog HDL) - Adders
    Write a Verilog module for the full adder subcircuit and write a top-level Verilog module that instantiates ... Write your Verilog code using simple assign statements to specify the required logic functions-do not ... use other types of Verilog statements such as if-else or case statements for this part of the exercise
    리포트 | 12페이지 | 1,000원 | 등록일 2019.08.29
  • verilog가감산기(adder-subtracter) 코드및 결과레포트(modelsim)
    Spec : 4Bit Full adder, 4Bit Full subtractor Verilog Coding Simulation 결과는 1,0으로 표시 ... 하나를 추가하여 ‘1’ 일 경우 adder 동작, ‘0’일 경우 subtractor 동작 구현 Enable Signal 하나를 추가하여 ‘1’ 일 경우 adder ... Timescale 은 1ns/10ps 통일 2000ps만큼 바뀜 Verilog Tool 은 Model Sim 사용 Selection Signal
    리포트 | 4,500원 | 등록일 2019.08.24 | 수정일 2019.09.23
  • [기초전자회로실험1] "Verilog HDL을 이용한 Full Adder와 Half Adder의 설계 및 FPGA를 통한 검증" 결과보고서
    실험제목Verilog HDL을 이용한 Full Adder와 Half Adder의 설계 및 FPGA를 통한 검증2. ... 실험목적① 1-bit Full Adder와 Half Adder의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit Adder의 설계 방법을 익힌다.② 4-bit AdderVerilog ... 1Result report Electronic Engineering기초전자회로실험1Verilog HDL을 이용한 Full Adder와 Half Adder의 설계 및 FPGA를 통한
    리포트 | 6페이지 | 1,500원 | 등록일 2019.03.19 | 수정일 2019.03.29
  • 디지털논리회로실험(Verilog HDL) - 8-bit Signed Adder/Substractor, Multiplier
    Generate the required Verilog file, include it in your project, and compile the circuit.? ... *Full Adder module*Full Adder 8bit moduleFull-Adder module을 8개 붙여놓은 module이다.(4) SimulationA에 3을 인가하고 ... Adders→ Adds two N-bit binary numbers-2-bit adder: adds two 2-bit numbers, outputs 3-bit result-e.g.,
    리포트 | 19페이지 | 1,000원 | 등록일 2019.08.29
  • [기초전자회로실험1] "Verilog HDL을 이용한 Full Adder와 Half Adder의 설계 및 FPGA를 통한 검증" 예비보고서
    실험제목Verilog HDL을 이용한 Full Adder와 Half Adder의 설계 및 FPGA를 통한 검증2. ... 1Preliminary report Electronic Engineering기초전자회로실험1Verilog HDL을 이용한 Full Adder와 Half Adder의 설계 및 FPGA를 ... 실험목적① Verilog 문법, initial과 always, 배열과 대한 개념 및 예시② 1-bit Full Adder와 Half Adder의 심볼 및 동작을 이해하고, 이를 응용하여
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.19 | 수정일 2019.04.01
  • 디지털논리회로verilog(full adder, 4bit full adder, comparator, 4bit comparator)
    디지털 논리 회로 verilog 과제학과학년학번이름이번 과제는 verilog 프로그램을 통해서 full adder, 4bit full adder, comparator, 4bit comparator을 ... full adder은 4개의 full adder를 이용하여 구형할 수 있다. ... 이를 일렬로 쭉 배열하면 S=X+Y의 값을 얻을 수 있는 것이다.이를 verilog로 코딩을 해보면 다음과 같다.이를 simulation해본 결과 아래의 사진과 같고, 임의의 값을
    리포트 | 6페이지 | 1,000원 | 등록일 2017.01.06
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] Half Adder / Full Adder
    코드▷ Full Adder 단일 코드▷ 앞에서 짰던 Half Adder 코드를 이용한 코드? 시뮬레이션 결과ㅁ? Pin 입력?
    리포트 | 3페이지 | 2,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • verilog - modified CLA와 CLA를 이용한 fast adder 구현
    가산기(모듈명 : fast16bit_adder) 코드가 쓸데없이 길다고 생각되어 다른 방법이 있는지 조사하다가 알게 되었습니다. ... )※ Primitive Gate (AND, OR, XOR 등)를 배열로 구현한 16비트 고속 가산기 코드 (모듈명 : fast16bit_adder_2)(위에서 구현한 16비트 고속 ... 있다.이를 이용한 것이 Modified Carry Look Ahead (CLA* : 수정된 캐리 예견 회로) 이다.그리고 그 CLA*를 하위모듈로 이용하여 구현한 가산기를 Fast Adder
    리포트 | 6페이지 | 1,500원 | 등록일 2013.06.23
  • verilog - 16bit ALU , ALU based on Adder 구현
    ALU based on Adder: ALU의 입력 = Xi, Yi: ADDER의 입력 = Ai, Bi(이 전 과제의 modified CLA adder의 입력을 A, B로 구현하였기 ... tb_ALU_based_on_ADDER)▶ ALU_based_on_ADDER의 Gate Level Simulation 결과 ... 구현할 ALU based on Adder 의 블록도 (16bit)ALU_based_on_ADDER▶ 게이트 레벨 표현으로 구현한 16비트 ALU 코드 (모듈명 : ALU16bit)▶
    리포트 | 6페이지 | 1,500원 | 등록일 2013.06.23
  • 4bit Full adder Verilog구현
    stimulation block⇒c_in은 1bit register, a,b는 4bit register 로 설정.c_out은 1bit wire, s는 4bit wire로 설정하였다.③ Verilog ... HW#1 - 4bit full-adder설계 및 modelsim으로 시뮬레이션.① 진리표작성▷1bit full-adder의 진리표a[0]b[0]c_ins[0]c_out******** ... , 위의 진리표에서 작성한 1bit full-adder를 4개 연결하였다.입력출력블록간 연결4bit a4bit b1bit c_in4bit s1bit c_outFA0에서의 c1(c_out1
    리포트 | 4페이지 | 1,500원 | 등록일 2009.04.21
  • FPGA를 이용한 디지털 시스템 설계(인하대) 16bit Full Adder 보고서 (verilog코딩)
    실험목표이번 실험의 목표는 Verilog언어를 이용하여 1bit , 4bit fulladder를 설계하고 최종적으로 16bit fulladder를 설계한 후 시뮬레이션하는 것이었다.2 ... 4개를 사용하여 4bit full adder를 설계하고 다시 4bit full adder 4개를 사용하여 최종적으로 16bit full adder를 설계할 것이다.@1. 1bit ... FPGA를 이용한 디지털시스템 설계 REPORT1bit, 4bit full adder를 이용한 16bit full adder 설계1.
    리포트 | 12페이지 | 2,000원 | 등록일 2015.09.25
  • 디지털 시스템 실험, Verilog 코딩, Adder/Subtractor/Multiplier/Divider, Binary to BCD 설계, FPGA보드 결과 포함
    그리고 Full Adder는 처음에 예비보고서에 Verilog에 배열을 이용하여 4'b 형식으로 선언하여 코딩하였는데 이렇게 코딩을 하는게 목적이 아니라고 하셔서 다시 Half Adder ... Half Adder 코드를 작성하였다.2. Half Adder코드를 이용하여 Full Adder 모듈을 만들고 TestBench를 작성하였다.3. ... Full adder 4개를 이용하여 4bit adder를 만들고 TestBench를 이용하여 시뮬레이션을 돌렸다.5. 4Bit Adder에 보수개념을 이용하여 exclusive or를
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • adder 의 delay area 비교 [verilog] code 포함
    1. 12bit Ripple Carry Adder waveformclock 이 들어올 때 (negative edge) 입력값을 받아서 다음 cycle 에 결과값이 나오는 것을 확인 ... ********************Report : timing -path full -delay max -max_paths 1Design : adder12bitVersion
    리포트 | 9페이지 | 1,500원 | 등록일 2010.05.29
  • verilog coding을 이용한 Adder&Subtractor
    Verilog Coding ... /Subtractor실험목표1. 4Bit Adder를 설계한다.2. 8Bit Adder/Subtractor를 설계한다.실험준비물Altera Quartus II 4.0 software ... , HBE-COMBO 보드배경지식1. 4Bit Adder2. 8Bit Adder/Subtractora. 8Bit Adderb. 8Bit SubtractorHaving an n-bit
    리포트 | 2페이지 | 1,000원 | 등록일 2009.05.07
  • [Flowrian] 8 Bit Adder 구조의 Verilog 설계 및 시뮬레이션 검증
    언어로 모델링 되었으며 시뮬레이션에 의해서 검증된 파형을 제공하고 있다.디지털 논리회로를 배우거나 Verilog 설계를 배우려는 분에게 도움이 되는 문서이다. ... 사용하기 때문에 적은 면적으로 덧셈기를 구현할 수 있지만 딜레이는 LSB의 캐리 입력에서 MSB의 캐리 출력까지 최장 경로가 이루어지므로 덧셈 속도가 느린 단점이 있다.8비트 가산기는 Verilog
    리포트 | 16페이지 | 2,500원 | 등록일 2011.09.04
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:43 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대