• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(226)
  • 리포트(209)
  • 시험자료(7)
  • 자기소개서(5)
  • 논문(2)
  • 서식(2)
  • 방송통신대(1)

"modified input" 검색결과 141-160 / 226건

  • 카이스트 전자공학실험2 실험4 digital design using microcontroller (2nd week) 결과보고서
    is not acceptable owing to overflow of the maximum speed of the COMPACT51 board.Also, the range of input ... Otherwise, the number of samples in a period or the period of timer interrupt can be modified.(3) Generate
    리포트 | 10페이지 | 2,500원 | 등록일 2011.11.06
  • [신호 및 시스템 문제풀이] Fundamentals of Signal and System Chapter1
    (d) Modify the loan balance program given in Figure 1.31 to compute the savings amount. ... system by taking the first input tobe x(t) and the second input to be . ... Repeat parts (a) and (c) using your new MATLAB programSol>>> y0=input('loan=');loan=1000>> i=input('rate
    리포트 | 28페이지 | 1,000원 | 등록일 2009.02.21
  • C-Language Dijkstra`s algorithm의 구현
    You should note that the input.h file can be modified during the test phase after submission; therefore ... 이 배열을 위해 input.h 헤더파일을 만들어주었는데, input.h header file에는 이 배열과 MAX_VERTICES, MAX_WEIGHT값만 지정해주었다.? ... The instructions for input.h is the same with Level 1.
    리포트 | 17페이지 | 2,500원 | 등록일 2008.04.17
  • labview프로그램을 통해 모터를 제어하여 나사를 운반 시키는 장치
    , using differential time measurements the angle convergence and stability analysis for the optimal input ... We have not actually produced in a variable manner for the alternate plan to modify the beam to minimize ... The process of modifying the plan as a variable in the unexpected happened is a part should review carefully
    리포트 | 8페이지 | 1,500원 | 등록일 2010.05.08
  • Quartus 툴을 이용하여 verilog로 가감산기.간단한 ALU 구현하기
    CodeWe modified code in Y functions.We modified the function ... in file ... to (describe changes for ... .☞ Behavioral Structure : System의 기술방법으로 input과 output사이의 관계를 computer language와 같이 서술하는 방법이다. ... hardware descri-ption language), HDL등이 있다.Ex> assign C=A & B;☞ Structural Structure : System의 기술방법으로 input
    리포트 | 18페이지 | 9,000원 | 등록일 2007.12.09
  • AVR I/O Control
    I/O 포트의 기본 구조- 6개의 8비트 양방향 병렬 I/O포트 (A ~ F)와 1개의 5비트 병렬 I/O포트(G)로 구성- Read-Modify-Write기능을 가지고 있어, 비트 ... 기초전자공학실험2기초전자공학실험2실험날짜: 2008, 11, 7조 : 금요일 오전 10 조1.TitleAVR I/O Control2.Name금요일 오전 10조3.AbstractAVR ATmega128 보드의 Input과 ... 다음은 AD 변환이나, JTAG용도로 사용될 경우의 각 핀 역할을 정의한 것이다.- PF7 : ADC7/TDI(ADC 입력 채널 7 또는 JTAG Test Data Input)- PF6
    리포트 | 20페이지 | 2,000원 | 등록일 2010.10.16
  • 열역학 flash 계산
    Modified Raoult's law는 액상의 비이상성을 나타내는 activity coefficient를 포함하고 있지만 기상의 경우 이상성을 가정하였기 때문 한계성을 가진다. ... 또한 Henry's law는 기상을 이상기체로 간주할 수 있을 정도로 충분히 낮은 압력에 대하여 적용된다.Modified Raoult's law에 의한 기액 평형의 계산은 여러 목적에 ... ('x1 value=') % x1값의 입력y1=input('y1 value=') % y1값의 입력x2=1-x1; y2=1-y1; %x2와 y2의 값z1= (x1 + y1)/2; z2
    리포트 | 5페이지 | 2,000원 | 등록일 2008.06.15
  • [물리화학실험]계산화학(Computational Chemistry)
    File Modify를 통해 앞서 계산한 input file Load나. 각각의 항목에 'opt' 추가 후 RUN 버튼으로 실행다. 다시 다른 이름으로 저장. ... 다시 작성된 Z-matrix를 바탕으로 input file을 다시 작성한다. 이때 각 항목에 'freq'라는 항목을 넣어준다.다.
    리포트 | 26페이지 | 2,000원 | 등록일 2008.04.16 | 수정일 2020.12.24
  • least-squares regression, 회귀분석, 수치해석,Linearization,population model
    f[20],k[20],x[20],y[20];void main(){double data;int i,n;cout < "Number of Data : ";cin >> n;cout < "Input ... Therefore, the model must be modified to make it more realistic.f, mg/Lk, day-11/f, L/mg1/k, day70.290.142863.44890.370.111112.703150.480.066662.083250.650.040001.538400.800.025001.250750.970.013331.0311000.990.010001.0101501.070.006660.935
    리포트 | 8페이지 | 1,500원 | 등록일 2008.06.25 | 수정일 2017.05.29
  • Technical work plan - 학생 관리 시스템 (프로젝트 계획서)
    The user inputs their details into the web-page and then they can get the smart card with the student ... NameLoiProposed RoleDatabase AdministratorProposed ResponsibilityResponsible for construction of database and modifies
    리포트 | 11페이지 | 5,000원 | 등록일 2009.09.16
  • 내용 중심 교수법 (Content-Based Learning Instruction)
    교수 절차의 단계에서, 교수 자료와 활동은 프로그램의 유형에 일치하는 정도에 따라 선택될 수 있다.1) 입력의 수정(Modifying Input)- 말의 속도 줄이기, 확실한 발음, ... People learn a second language more successfully when they use the lan "Comprehensible Input" : Comprehensible
    리포트 | 6페이지 | 1,000원 | 등록일 2009.12.14 | 수정일 2015.12.14
  • 수업의 주요 원리에 의한 수업설계(Merill의 연구)
    투입 (inputs): 과제나 문제로 주어진 것 목적 (a goal): 과제의 수행으로부터 얻어진 산출물이나 활동 해결 (a solution): 주어진 것에서 목적으로 변형시키는 활동들 ... 담은 영상 등 Identify components 각 과제를 위해 지식 / 기능 요소들 을 확인 Sequence tasks 조건 단순화 및 강조점 조작을 이용하여 과제의 순서 지정 Modify
    리포트 | 33페이지 | 2,000원 | 등록일 2010.11.11
  • 제2외국어로 영어교육방법(영문)
    so that speakers with limited linguistic knowledge are able to participate.+ Meaning negotiation (=modified ... Processing Model by McLaughlin3) Explicit and Implicit Models by Bialystok[3] Experience Theory1) The Input ... the notion of 'ァ+1' is abstract- the notion that speech will emerge in a context of comprehensible input
    리포트 | 6페이지 | 1,500원 | 등록일 2008.05.04
  • What I`ve learned through Microteaching
    During this course, I might learn too many things to adopt and modify for my teaching. ... I thought the input that I produce for them in English might be helpful in some ways, and they would
    리포트 | 3페이지 | 2,000원 | 등록일 2010.02.05
  • 인간행동의 심리적 이해 Psychological Theories on Human Behavior
    The Dream process by Freud (Modified by H Ellenberger)4) 지형학적 이론 topographic theory마치 커다란 땅덩어리를 나누어 놓은 ... ------↑ ↑ ↑ UCsdisplacementcondensationsymbolizationprojection↑ ↑ ↑dream censor↓ ↓ ↓↑ ↑ ↑n. sensory input
    리포트 | 14페이지 | 1,000원 | 등록일 2010.09.28
  • [물리화학실험]계산화학(Computational Chemistry)
    통해 앞서 계산한 input file Load ... 창이 뜨면 정보 기입③ Run 클릭 후 적당한 파일명으로 저장④ 계산된 output file을 분석한다.3) optimization energy calculation① File>>Modify를 ... job step.Load an input file (replacing current file)() Input File 작성법5개의 부분으로 이루어져 있으며, 예측한 분자에 대한 정보를
    리포트 | 5페이지 | 2,000원 | 등록일 2008.04.16 | 수정일 2020.12.24
  • Python 영어 소개 및 발표
    They are mutable but can’t be modified like lists or strings. 5. ... for tuples : • () An empty tuple • t1 = (0, ) A one-item tuple (not an expression) • t2 = (0, • S = input.read
    리포트 | 52페이지 | 1,000원 | 등록일 2009.12.10
  • Velilog이용해서 ALU설계.(쿼터스툴에서)
    2007.10.xxDesigned by: (2007.10.xx)Updated by: (2007.xx.xx),New ALU functions (and, or, xor, inv) added.Modified ... [n-1:0] A,B;input [2:0] OpSel;input Clock,Reset,Sel,AddSub;output [n-1:0] Z;output Overflow;output Z_flag ... f/f이라고도 하며 들어온 input 값이 clk이 posedge or negedge일 경우에만 반응하여 그 값이 출력 되어 지는 것이다.
    리포트 | 17페이지 | 1,500원 | 등록일 2008.04.09
  • 연세대 전기전자 기초실험 9. 연산 회로 설계 실험 (결과보고서)
    [3:0] scode;input [3:0] data_a, data_b;input mcode;output [3:0] alu_out;reg [3:0] alu_reg;reg [3:0] ... CSMT는 위에서 언급된 두 가지 형태의 캐리 회로가 합쳐진 저 전력-지연의 곱을 얻을 수 있는 수정된 캐리 선택 트리 회로(Carry Selection Modified Tree)이다 ... 못한다는 것을 알 수 있다.③ 다른 종류의 덧셈기를 조사하여 32비트의 덧셈기를 구현할 경우에 가장 빠른 동작 속도를 나타내는 수정된 캐리 선택 트리 회로(Carry Selection Modified
    리포트 | 9페이지 | 1,000원 | 등록일 2007.12.30
  • `Coffee` and `Second Language learning`
    that, baristas might use additives to encourage ratherf teachers' efforts to make lessons that provide input ... For learners, the target language should be modified and provided in easy forms so it can easily be understood
    리포트 | 6페이지 | 2,000원 | 등록일 2010.02.05
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:48 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대