• 통큰쿠폰이벤트-통합
  • 통합검색(190)
  • 리포트(176)
  • 시험자료(7)
  • 논문(2)
  • 서식(2)
  • ppt테마(2)
  • 방송통신대(1)

"standby" 검색결과 141-160 / 190건

  • [신용장][신용장거래][신용장의 분류][신용장의 종류][신용장의 이점][신용장거래의 원칙][신용장거래의 과정]신용장의 분류, 신용장의 종류, 신용장의 이점, 신용장거래의 원칙, 신용장거래의 과정 분석(신용장)
    또는 하청업자 앞으로 별도의 새로운 신용장을 개설하도록 요청하여 개설된 신용장- 개설은행(수출상의 주거래은행), 개설의뢰인(수출상), 수익자(국내공급업자)㉹ 특수신용장- 보증신용장(standby ... 어음지급인에 따른 분류① 은행신용장(banker's credits): 신용장상에서 환어음을 개설은행이나 확인은행 또는 타은행을 지급인으로하여 발행② 고객신용장(customer's credits ... 보상방법에 따른 분류① 단순신용장(simple credits)② 보상신용장(unconfirmed credit)- 확인신용장 : 제3의 은행이 개설은행의 요청에 따라 수익자에게 지급,
    리포트 | 9페이지 | 5,000원 | 등록일 2009.03.13
  • Bluetooth에 관해
    , Sniff, Hold, Parked (4가지상태) b. standby : 기본상태, 배터리를 절약하기 위한 저전력 모드 상태 inquiry, inquiry scan, inquiry ... S/M : BothBluetooth 장치의 상태 connection(연결상태), standby(대기상태) connection : 다른장치와 패킷을 교환하면서 통신하고 있는 상태 Active ... piconet b) multi-slave piconet c) scatternetMSSSS/MSSSPiconet 1Piconet 2ScatternetM : Master S : Slave
    리포트 | 15페이지 | 1,000원 | 등록일 2004.09.15
  • 환율이 경제에 미치는 영향
    채택하게 되었다.그러나 외환증서제도 실시에 필요한 제반 여건이 구비되지 못하여 실시를 하지 못하다가 1965년 3월 19일에 국제통화기금에서 9300만 달러에 달하는 ‘스탠드바이차관(standby
    리포트 | 11페이지 | 2,000원 | 등록일 2010.05.06
  • 해외여행시 기본적 영어표현
    Can I go standby? 혹은 Can you put me on the waiting list?9. 비행기 탑승시간 몇 시, 몇 번 게이트 인가요? ... Our X-ray scanner shows something strange in your bag.5. 사진이 본인 맞나요? ... Q: Would you like a window seat or an aisle seat?A: Window seat please.3.
    리포트 | 4페이지 | 1,500원 | 등록일 2007.01.21
  • pH 관련 실험 보고서
    이것은 화면의 수명을 연장시키는 역할을 한다. 0(standby)을 누르면 부화면에 STANDBY: ANY KEY가 표시된다. ... 부화면에 전극 채널과 전극 명칭을 따라 slope와 iso point를 표시한다.Electrode I.D.2nd/6(electrode id)을 누르면 전극 명칭을 변경할 수 있다. ... 수동보정을 수행하려면 숫자 키를 사용하여 값을 바꾸고 yes를 눌러 입력한다.⑤ 하나 이상의 버퍼를 선택했으면 step ㉰과 ㉱를 반복한다.⑥ 하나의 버퍼를 선택했으면, 메모리에 있는
    리포트 | 15페이지 | 1,500원 | 등록일 2008.10.26
  • 기계공학실험 총망라!!(압력측정, 온도측정, 유량계수, 유속측정, 인장실험, 정밀측정의 실험보고서)
    ]를 ↘ 로 설정하고, [Mode] -[Normal]로, [RUN/STOP] 버튼은 눌러 RUN으로 설정하여 standby 시킨다.9) Charge amp.의 상부 왼쪽 레버를 OPR로 ... c_status=&c_subject=&n_board_seq=4&n_data_seq=635797&n_list_cnt=20&n_program_seq=1&vc_search_keyword= ... d1id=11&dir_id=1104&eid=cVtvX63Y2DRzcvXg6AkisowvAMztFWrE&qb=v63A/LTrIL/4uK4=&pid=fvwdTdoQsCosst1N1R8sss
    리포트 | 49페이지 | 3,000원 | 등록일 2008.09.30
  • [windump] WinDump 실습 보고서
    =standby group=0 addr=165.229.101.113:30:32.665057C:\WINDOWS\바탕 화면>windump -tC:\WINDOWS\바탕화m.ac.kr.2539 ... =standby group=0 addr=165.229.101.114:23:55.802544 IP pc018127.yeungnam.ac.kr.2585 > ns2.yeungnam.ac.kr ... =standby group=0 addr=165.229.101.113:25:31.005961 arp who-has 165.229.18.1 tell pc018127.yeungnam.ac.kr13
    리포트 | 7페이지 | 1,000원 | 등록일 2004.11.08
  • 신용장 관련법에 있어서의 사기 규칙하의 사기 당사자의 주체에 관한 문제(XIANG GAO-The Identity Of The Fraudulent Party Under The Fraud Rule In The Law Of Letters Of Credit)
    UN협약은 독립적 보증서(independent guarantee)또는 보증신용장(standby letters of credit)과 같은 "국제적 확약"에 적용되며, 동 협약은 신용장에 ... Prior UCC Article 5, s 5-114(2), 1950년- 미국통일상법전 제5-114(2)조의 규정은 개설막으로 법원이 위조와 중대한 사기가 있는 경우 취할 수 있는 조취
    리포트 | 8페이지 | 5,000원 | 등록일 2008.11.02
  • 부인과 환자 간호사정양식
    고찰하시오,키 158몸무게 53kg2년 전에 자궁근종 진단받음주기 28~30일양 : light -> heavy(2~3달전 부터),Dyemenorrhea없음근종 크기 9.4*7.9마취:standby2
    서식 | 9페이지 | 1,600원 | 등록일 2010.06.21
  • 분산처리시스템
    일반적으로 클러스터링이라 하면 바로 HA 클러스터링을 지칭하는 것이다.- Fail-Over : active-standby(: standby 컴퓨터는 active 컴퓨터가 작동하는 동안에 ... .- Fat Client 문제를 해결하기 위해 멀티프로세서 시스템이나 Back-and Server가 추가된 C/S 모델이발전함.: 유지보수와 업그레이드, 프로그램 이식이 어렵다. : ... 116, pp. 243~307, Wiley Computer Publishing, 1997.[6] Wutka, M., “Hacking Java: The Java Professional’s
    리포트 | 15페이지 | 1,000원 | 등록일 2005.09.07
  • DC모터
    4.5∼20V·출력전류: 1.0A(평균) 1.5A(최대)·열차단 회로 내장·출력단자 protector 회로 내장·역기전력 흡수용 다이오드 내장·입력 histeresis 회로 내장·standby ... g: 중력가속도(980cm/sec2)J=WD2/8 ?????????????????f: 동륜의 등속운전속도(회전/sec)?????????????????????????????? ... t: 가속기간의 시간(secm)은 충분히 구동할 수 있다는 계산이다.또, 등속 운전시에는 RE260의 적정 부하토크가 10∼15(g·cm)이므로 40배하며, 역시 400부터 600(
    리포트 | 8페이지 | 1,500원 | 등록일 2008.05.13
  • [모터제어]모터의 ON,OFF제어
    4.5∼20V·출력전류: 1.0A(평균) 1.5A(최대)·열차단 회로 내장 ·출력단자 protector 회로 내장·역기전력 흡수용 다이오드 내장·입력 histeresis 회로 내장·standby
    리포트 | 4페이지 | 1,000원 | 등록일 2005.11.23
  • [졸업작품]USN을 이용한 산불 방재 모니터링 시스템(논문)
    시간의 99%이상 송수신기가 비 활성화된 상태에서 낮은 듀티 싸이클에서 동작하기도 한다.그러나 실제로는 무선 회로가 비활성화 상태도 항상 타이머 등에 소요되는 소량의 예비 전력(standby ... 또한, 센서 네트워크에서 동기 기술은 S-MAC과 같은 동기화 기반의 통신 프로토콜 개발뿐만 아니라 암호화 기술에서의 타임스탬프, 다른 노드들로부터 같은 이벤트의 중복 감지에 대한 ... 유해물질량, 수질오염량 등)컴퓨팅 환경전원 On/Off, 인터넷 연결 On/Off, Log In/Out, 수/자동컴퓨팅 이력연, 월, 일, 시, e-Mail주소, IPv6주소, O/S버전
    리포트 | 80페이지 | 2,000원 | 등록일 2007.06.11 | 수정일 2018.06.22
  • 국제통화기금 [國際通貨基金, International Monetary Fund]
    대기성 차관협정(Standby Arrangements)을 도입하여 회원국이 실질적 필요를 예상해서 미리 대출한도액을 협상할 수 있도록 하였다.1961년에는 10개국이 대기성 차관(standby
    리포트 | 7페이지 | 1,000원 | 등록일 2008.07.23
  • [간호학]ventilator(760)
    sensor가 기능을 하도록 하거나 하지 않도록 할 수 있다.o2 sensor display: 메시지 창에 oxygen sensor의 측정값이 나타나게 또는 나타나지 않게 할 수 있다.standby ... Alarm setting8. ... 자발호흡을 억제- 적응증 : 무호흡, 가역적인 질환으로 인한 환기 부전급성호흡부전, severe hypoxemia, 수술환자severe brain damage, neuromuscular
    리포트 | 13페이지 | 1,500원 | 등록일 2006.05.15
  • 운영체제의 이해
    clusteringone machine is in hot standby mode while other servers are running applicationThe Hot standby ... -Conflicts with time-sharing systems, not supported by general-purpose operating systems.Soft real-time-Less ... (PC는 멀티유저나 멀티태스킹 그 어느 쪽도 아님)Multitasking : like a time sharing system (user sharing by short quantum
    리포트 | 4페이지 | 1,000원 | 등록일 2003.04.29
  • IMF이후 10년
    대기성 차관협정(Standby Arrangements)을 도입하여 회원국이 실질적 필요를 예상해서 미리 대출한도액을 협상할 수 있도록 하였다.1961년에는 10개국이 대기성 차관(standby
    리포트 | 14페이지 | 2,000원 | 등록일 2008.05.16
  • 국제금융 구조의 변화추세
    .□ 「스탠바이 LC」1) 보편적 SLC(Conventional standby LC, CSLC)「CSLC」는 은행이 고객을 위해 발행하는 「LC」의 형식을 취하는 취소불능의무이다. ... 정의「스와프(swap)」란 두 상대방간에 계약시와 만기 사이에 걸친 지불흐름을 교환할 것을 약정하는 금융거래이다. ... 이미 한국, 싱가포르, 인도, 인도네시아의 몇몇 차입자들이 비록 소액이지만 「퍼실리티」를 설정하였고 시장이 안정됨에 따라 현재 「syndicated credit」시장에 참여하고 있는
    리포트 | 15페이지 | 1,500원 | 등록일 2006.11.08
  • [디지털 논리회로]Flip Flop을 이용한 Clock 제어회로 설계
    ·standby 상태에서는 스위치가 밑에 있게 되며, 이 경우\R=LOW가 되고, R=1이 되면서 SR-FF의 진리표로부터Q= LOW, \Q=HIGH 상태에 있게 된다. ... 모든 output의출력 값은 standby 일 때는 HIGH였다가, active가 되면 출력중 하나의 값만 LOW로 변하고, 나머지는 HIGH로 되는Decoder이다. ... \S=LOW, S=1이 되면 Q=HIGH, \Q=LOW로 변한다.
    리포트 | 14페이지 | 2,000원 | 등록일 2004.03.14
  • IMF와 한국경제
    대기성 차관협정(Standby Arrangements)을 도입하여 회원국이 실질적 필요를 예상해서 미리 대출한도액을 협상할 수 있도록 하였다.1961년에는 10개국이 대기성 차관(standby
    리포트 | 9페이지 | 1,000원 | 등록일 2008.02.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:59 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대