• 통큰쿠폰이벤트-통합
  • 통합검색(682)
  • 리포트(624)
  • 자기소개서(37)
  • 논문(10)
  • 시험자료(10)
  • 방송통신대(1)

"스텝모터를이용" 검색결과 161-180 / 682건

  • ASIC설계 홈 오토맨션
    스텝모터는 멈추게 된다. ... 여기서 숫자패드 1을 누르게 되면 그림 10과 같이 step모터는 회전을 하게 되고 세그먼트에는 온도가 출력이 되면서 step모터의 화전수에 따라 온도가 올라가다가 설정이 된 온도에서 ... 그리고 다시 숫자패드 1을 누르게 되면 piezo에서 소리가 나면서 step모터가 돌아가면서 dot매트릭스에서는 자물쇠가 열리는 모양이 출력이 된다.
    리포트 | 43페이지 | 2,500원 | 등록일 2017.04.11
  • 스텝모터 돌리기 예비보고서
    [스텝모터 돌리기][실험 이론]① 스텝모터(Step Motor)- 스테핑 모터(스텝모터, 펄스 모터) : 스텝(step) 상태의 펄스(pulse)에 순서를 부여함으로써 주어진 펄스 ... 전류를 흘려줌.- 마이크로 컨트롤러- 방향 신호와 펄스열을 발생② 스텝모터(Step Motor) 구동 방법- 1상 여자방식 (Full step)- 구동방법- 스텝모터를 구동하기 ... //채터링 방지unsigned char flag_cnt=0;1) 스텝모터 포트 선언- 매크로 상수 S_MOTOR_DDR, S_MOTOR_DATA_OU : 스텝모터와 관련된 입출력 포트
    리포트 | 6페이지 | 1,500원 | 등록일 2015.11.23
  • 기계공학실험 동제어 제어 A+
    주어 주어진 자료와 모양이 비슷한 지 확인한다.3) 모터의 속도와 input voltage와의 관계를 살펴본다.4) 측정된 step response에서의 steady state gain인 ... 따라서 input과 output의 단위는 동일하게 나와야 한다.* Servo ModelUnit step의 경우, y(t)를 라플라스 변환시킨 Y(s)는 과 같다.이를 부분전개하여 역 ... Process of experiment1) QUBE-Servo 2 DC Motor와 Labview를 연결해주고 Bump test Modeling의 파일을 열어준다.2) 모터에 2V를
    리포트 | 10페이지 | 2,000원 | 등록일 2019.09.11 | 수정일 2019.09.20
  • [기전실] 모터제어, 로보틱스 A+
    또한 step각이 1.8°의 일반적인 4상 motor에서는 회전자쪽 에는 이빨이 50개 고정자 쪽에는 48개의법의 선택에 따라 입력 펄스와 스텝각(한 펄스에 대한 회전각)의 특성이 ... 2개의 극이 1개의 상)의 수에 다라 단상(1상) 모터, 2상 모터, 3상 모터, 4상모터, 6상 모터 등으로 분류하고, 이 극의 수에 따라 motorstep각 등의 기본 특성이 ... 여기서는 일반적으로 가장 많이 사용되고 있는 4상 stepping motor를 예를 들어 동작 원리에 대해 설명 하도록 하겠습니다.
    리포트 | 27페이지 | 1,000원 | 등록일 2017.07.18
  • 메카트로닉스 Stepping 모터 실험 보고서
    또한 Stepping 모터에는 고유의 분할각도가 존재하는데 이 분할 각도를 이용하여 제어를 하게 된다. ... 회전 각도를 제어 할 수 있는 모터● 위치제어 및 속도 제어를 위한 센서사용이 필요 없는 모터이다.Stepping Motor & BLDC Motor & DC Motor 의 장단점 비교Motor ... 입력 Pulse 수에 대응하여 일정 각도씩 움직이는 모터로, Pulse 모터 혹은 스텝모터라고도 한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.04.21
  • 자동제어실험 결과 스텝모터 RC서보모터
    펄스 2개가 들어와야 모터가 한 스텝 동작하게 되고 Full mode의 경우 CLK로 들어오는 펄스를 모두 이용하여 펄스 1개당 모터가 한 스텝으로 동작한다. ... 스텝 모터가 작동하는 최대주파수를 알아보려면 스텝 모터에 대해 알아야 하는데, 일반적으로 스텝 모터의 1회전 펄스 수는 160 ~ 240개 이며 한 스텝 당 회전각은 1.5° ~ 2.5 ... 스텝모터, RC서보모터1. 실험 목적- 스텝모터의 원리이해 및 제어- RC 서보모터의 원리이해 및 제어2.
    리포트 | 8페이지 | 1,000원 | 등록일 2017.11.29
  • spinal cord injury- soap note
    : alert, follow command 3 steps2. motor funtion- muscle tone: Normal- muscle strength: Hip flexion (T ... alert, follow command 3 steps2. motor funtion- muscle tone: Normal- muscle strength: Hip flexion (P/ ... assistant14cm 높이 계단 20 step 한쪽 손으로 난간 잡고 이용 시 foot drop 관찰됨Assessment1.
    리포트 | 4페이지 | 2,000원 | 등록일 2017.10.01 | 수정일 2021.06.28
  • 모터이용한 각도제어 게임 보고서 A+자료 ( 아두이노 프로젝트 최종 보고서 코드,고찰,개요 모두 포함)
    Team Project Report- 모터이용한 각도 제어 게임 -[최종 보고서]1. ... 부품 선정 및 회로 설계 (사진 첨부)① 부품 선정- DC 모터 - 스텝 모터- LED 6개 - 스위치 3개② 회로 설계4. ... 원리를 이용해, 사용자의 동작을 입력받는 장치로 활용한다.DC모터의 경우 손으로 돌리는 방향에 따라 전류의 방향이 바뀌므로 회전방향까지 감지할 수 있지만 보유하고 있는 DC모터에 기어박스가
    리포트 | 15페이지 | 3,900원 | 등록일 2018.05.06 | 수정일 2020.11.27
  • 스텝모터 예비보고서
    목 적스텝 모터의 동작원리를 이해하고 ATmega128의 GPIO를 이용스텝모터 구동 방법 습득 및 타이머, 인터럽트, GPIO 제어의 복합적인 프로그램 능력을 배양하는데 있다.2 ... 0 선언(low edge) 및 타이머0 오버 플로우 선언1)1)#include#include// 1)#define S_MOTOR_DDR DDRC#define S_MOTOR_DATA_OUT ... 여자방식으로는 1상 여자방식, 2상 여자방식, 1-2상 여자방식이 있다.① 1상 여자방식(Full step)- 1상 여자방식은 항상 하나의 상에만 전류를 흐르게 하는 방식으로써, 입력이
    리포트 | 10페이지 | 1,000원 | 등록일 2015.11.09
  • 자동제어 결보 5 모터
    데이터 시트의 스텝당 각도이동은 1.8/step이고 실험결과 Half모드일 때는 Full모드의 2배의 펄스를 필요로 한다. ... 스텝모터에 따로 6V이상의 전압을 주어야하여서 다른 ELVIS II를 이용하여 6.5V를 주었다. ... 서보모터의 구동원리를 이해하고 회로를 구성하여 각도를 측정하여 오차를 구해보는 실험이었다.첫 번째 스텝모터 실험은 L297과 L298N IC칩을 대신하여 모터드라이브를 이용하여 스텝모터
    리포트 | 6페이지 | 1,000원 | 등록일 2015.05.04
  • A+레포트 임베디드시스템+11주차+결과+리포트 로봇학부
    모터가 강제구동에의해 잘도는 것을 확인하였다 . 동영상 동영상 보드의 s2 버튼을 이용하여 모터를 on/off 시킬 수 있다 . ... 모터의 전기각과 기계각과의 차이점을 알게 되었다 . 스텝모터 , DC 모터를 돌려봤었는데 BLDC 는 직접 돌려 본적이 없었다 . ... 브러쉬가 없기 때문에 수명이 오래간다 .4 1) Sensored BLDC Motor Running Open Loop 실습 3 상의 Invertor 를 이용하여 전류를 제어한다 .
    리포트 | 27페이지 | 4,000원 | 등록일 2019.08.18
  • 다관절 6축 로봇 실습 보고서
    스텝모터(Step motor) : 펄스 입력으로 인한 동작, 정확한 회전 및 각도 제어가 가능하지만 토크가 작아서 빠른 속도에서는 탈조현상이 일어난다.탈조현상 : 정해진 규격보다 높아 ... 스텝모터 그림 7. 엔코더3) 로봇 기구학적 기준 좌표계- 로봇은 다른 좌표축에 대해서 상대적 운동이 가능하다. 각각의 좌표축에 따라서 운동 의 해석이 달라질 수 있다. ... 대부분의 로봇에 일반적으로 사용되고 있으며, 방식에 따라 서, AC Servo motor, Step motor, DC motor 등이 있다.?
    리포트 | 11페이지 | 3,000원 | 등록일 2019.02.23
  • 스텝모터에 관하여
    만약 스텝모터step number가 400이라 하면 스텝각은 360°/400=0.9°가 된다.half-step이란 용어는 스텝모터를 취급하게 되면 빈번히 듣게 되는데 이는 스텝각의 ... 즉 스텝각이 1.8°인 스텝모터를 half-step으로 구동하면 0.9°의 반 스텝각을 얻을 수 있다.스텝모터의 특성 곡선일반적인 모터와 마찬가지로 스텝모터의 경우도 주어진 입력 펄스의 ... 또는 완전히 1회전을 하는데 필요한 입력 펄스의 수를 step number라 하며 모터에 따라 2~1000 까지의 갑을 갖게 된다.
    리포트 | 6페이지 | 2,000원 | 등록일 2013.12.23
  • 로보틱스 _ 곽정석
    모터, 4상 모터, 5상 모터, 6상 모터 등으로 분류할 수 있고, 이 극의 수에 따라 모터스텝(step)각 등의 기본 특성이 다양하게 나타난다.④ CORELESS MOTOR- ... ⓔOperation Switch #2, ⓕTeach Pendant Connector, ⓖ1st Axis Encoder/Sensor Connectorⓗ1st Axis Motor Connector ... 돌리는 것이 교류모터이다.③ 스텝모터- 펄스 모터 등으로 불리기도 하는 스테핑 모터는 직역하면 보진 전동기로 보진은 한걸음, 한걸음 단계적으로 움직이는 모터의 동적 이미지를 표현한
    리포트 | 5페이지 | 1,000원 | 등록일 2016.06.06
  • 신호등 설계
    세그먼트, LED, 피에조 동작6조♥소스 분석(Dot_matrix)Clk, rst, enable을 이용하여 Dot_matrix를 구현6조♥소스 분석(step motor)Clk 과 ... 결 과6조♥설 계 내 용설계 주제에 맞도록 7-segment, LED, Text-LCD, Piezo, TFT-LCD, 스텝모터 등 구현방법 익히고 보행자를 위한 신호등 제작6조♥소스 ... enable을 이용stepmotor 사용6조♥Block DiagramClk값 설정LED, Piezo, Segment 모듈Dot-matrix모듈Stepout 모듈6조♥Trade-OffTFT-LCD의
    리포트 | 15페이지 | 2,000원 | 등록일 2015.01.22
  • 스텝모터(Step Motor) 응용사례 및 특성 조사
    스테핑(stepping) 모터는 다른 명칭으로 스텝 모터(step motor), 펄스 모터(pulse motor), 스테퍼 모터(stepper motor) 등으로 불리 운다. ... 개 요 스텝 모터는 1920년 영국에서 개발된 고정밀도의 위치결정이 가능한 Motor로써 스테핑이란 명칭이 사용 것은 1923년 스코틀랜드의 프렌치가 발명한 VR 형 스테핑 ... 모터가 최초 모델이라 한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2012.05.30
  • 논리회로설계실험_라인트레이서_프로젝트_결과보고서
    관련 기술 및 이론(1) 스텝모터(Step Motor)스텝 모터는 DC전압 또는 전류를 모터의 각 상단자에 스위칭 방식으로 입력 시켜 줌으로써 일정 각도씩 회전하는 모터이다. ... 선언부 소스 코드선언된 Signal을 살펴보면 L_state와 R_state는 예비이론에서 다룬 스텝 모터의 여자 방식의 각 단계를 나타낸다. ... 회전 방향의 감지는 sen_in[3] 즉 가운데 센서 부분을 중심으로 좌측 3개의 센서 sen_in[2:0]와 우측 3개의 센서 sen_in[6:4]의 값을 이용하여 좌, 우의 바닥상태
    리포트 | 9페이지 | 6,000원 | 등록일 2018.01.10
  • 제어 실험 보고서 (결과2)
    실험 방법1) 실험순서① 위 그림처럼 일정시간동안 얻어진 모터의 파라미터를 이용하여 세 가지 시스템의 근궤 적 선도를 그린다. ... 이러한 사실들을 이용한다면 Transient response와 연적 선도에서K _{i}값은 임의로 100, 500, 1000일 때로 선정하였으며, 그에 대한 스텝응답곡선은 아래와 같다.구 ... 개 요- 1 -모터나 로봇 등의 움직임을 제어하기 위해서는 그 모델의 전달함수를 조정해야한다.
    리포트 | 13페이지 | 1,000원 | 등록일 2018.03.25
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 결과보고서 4장 스텝모터구동기
    4-4-2 NPN 트랜지스터를 이용스텝 모터 구동기범용 이동 레지스터의 전류를 스텝 모터를 구동하기에 충분한 전류로 증폭하기 위하여, NPN 트랜지스터를 이용하여 스텝 모터 구동기를 ... CLK는 Clock의 역할을 하며, 0 V에서 5 V로 바뀌게 될 때, 스텝 모터의 단계가 바뀌게 된다. 한편, S0과 S1은 스텝 모터의 단계가 바뀌는 방향을 결정짓는다. ... 구동기가 정상적으로 동작함을 확인하기 위하여, 출력단에 LED 회로를 추가한다.스텝 모터는 다음 세 개의 핀 (CLK, S0, S1)으로 조정된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • Polyamide(고분자화학발표)
    가격적인 측면과 가공적인 번거로움이 있음- Synthesis of polyimide by a single-step method - General synthesis of polyimide ... by a two-step methodPI 의 용도 우주 / 항공 분야 : 1969 년 아폴로 11 호 달 착륙 시의 우주복 소재 , 항공 우주용의 내열구조 부품 ( 탄소섬유와의 복합재료 ... 컴퓨터의 다층회로 기판 ( 유리섬유 직물과의 적층판 ) 전기 부품 : IC 소켓 , 커넥터 , 코일 보빈 , 피스톤링 , 보턴 , 볼밸브 등PI 필름 : 고부하가 걸리는 공업용 모터
    리포트 | 13페이지 | 1,000원 | 등록일 2019.04.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대