• 통큰쿠폰이벤트-통합
  • 통합검색(796)
  • 리포트(715)
  • 시험자료(43)
  • 자기소개서(18)
  • 방송통신대(15)
  • 논문(5)

"시계소스" 검색결과 161-180 / 796건

  • [VB]아주 이쁜 스탑워치 프로그램 소스,실행파일 (타이머,현재시간 기능)
    스탑워치 V2 버젼 소스 프로그램입니다.일명 전자시계 글씨체로 전자시계로 실제로 작동하는것 처럼 보입니다.첫번째 버젼보다 글씨체가 더 이쁩니다...* 시작(▶) 버튼스탑워치시간과 스탑워치2가 ... 소스에 주석을 달아 놓았습니다. 프로그램 공부하시는 분들 참고 바랍니다.5. ... 실제 전자시계가 움직이는 것처럼 보입니다.2. 글씨체를 전자시계 글씨체로 사용하였습니다.
    리포트 | 2,500원 | 등록일 2011.03.05
  • 타이머와 카운터
    동작을 사용한다.- 편의상 시계는 초와 분까지만 표시2) 실습 목표- 타이머/카운터 활용 방법의 습득(관련 레지스터 이해)- 디지털 시계(초/분) 구현 방법 이해3) 소스코드 분석소스코드해석 ... 타이머를 이용한 디지털 시계1) 실습 개요- Array_FND 모듈에 마이크로 컨트롤러 출력 포트를 연결하고, 클럭을 이용하여 일정 카운트 기능을 수행- 타이머/카운터 0의 일반 모드 ... 분석소스코드해석#include#includeunsigned char LED_Data = 0x00;unsigned char timer0Cnt=0;SIGNAL(SIG_OVERFLOW0
    리포트 | 7페이지 | 1,500원 | 등록일 2017.01.11
  • 디지털 시계 설계
    * 2차 프로젝트디지털 시계 설계**1. ... VHDL 소스◎ MODE_GEN.VHDlibrary IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity
    리포트 | 22페이지 | 2,500원 | 등록일 2010.06.25
  • 비즈니스 라인 일러스트 06
    비즈니스 라인 일러스트 06 입니다.
    리포트 | 1페이지 | 20,000원 | 등록일 2016.08.30
  • ATmega128을 이용한 디지털 카운터 제작 프로젝트(소스 및 동영상 포함)
    디지털 시계 작동 디지털 시계 소스 분석 보완점ATmega128 응용 동작 (1) 디지털시계 연결ATmega128 응용 동작ATmega128 응용 동작 (2) 디지털시계 작동 영상 ... 디지털 시계 작동 디지털 시계 소스 분석 보완점AVR 특징 • Advanced Virtual 구조의 마이크로컨트롤러 • 성능 , 저전력 8 비트 또는 32 비트 마이크로컨트롤러 • ... 디지털 시계 작동 디지털 시계 소스 분석 보완점ATmega128 원리 1) ATmega128 특징 (1) 향상된 RISC 구조 – 32 개의 8- 비트 범용 레지스터 및 상태 또는
    리포트 | 29페이지 | 3,000원 | 등록일 2017.01.23
  • 스텝모터,엔코더 실험결과
    project files (*.cwp) )위와 같이 3종류의 확장자를 갖는 파일을 저장한다.프로그램 작성;smotor.txt 참조{ #include등, C언어의 기초문법이용} 하여 소스 ... 실험결과 및 고찰5.1 엔코더-시계방향으로 회전할 때-반시계방향으로 회전할 때시계방향으로 회전할 때는 a상, 반시계방향으로 회전할 때는 b상이 먼저 출력된다.a상만 가지고 실험을 한다면 ... 또한 파형과 LED의 표시를 비교(4) 엔코더의 축을 반시계방향으로 천천히 회전 시키면서 오실로스코프의 파형을관찰하고 그리시오.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.05.16
  • 스위스 관광상품
    긴 꼬챙이 끝에 음식을 끼워 녹인 치즈나 소스에 찍어 먹는 스위스 전통요리이다. ... 종류에는 치즈 퐁뒤, 오일 퐁뒤, 스톡 퐁뒤, 소스 퐁뒤, 스위트 퐁뒤 등이 있다.□ 뢰스티? ... 롤렉스는 '오직 기술로만 승부한다'라는 브랜드 철학을 바탕으로 최초의 방수 시계, 최초의 자동태엽 시계, 최초의 날짜·요일 표시 시계 등 다양한 최초의 시계 제작 기술을 선보여왔다.
    리포트 | 25페이지 | 1,500원 | 등록일 2017.12.17 | 수정일 2023.05.11
  • 방송통신대학교 간호학과 4학년 생활과 건강 - 건강한 생활양식을 갖는 것은 개인의 건강과 행복을 위해 매우 중요합니다. 자신이 본인의 건강을 위해 일상생활 속에서 수행하고 있는 건강한 생활양식과 관련된 내용과 효과 등을 기록해 보고(없는 경우는 이를 분명히 명시하고 구체적인 실행계획으로 대체 가능), 이에 관한 과학적 근거를 찾아 제시하시오.
    , 바비큐소스) 등의 섭취를 줄여야 한다.나.규칙적인 운동운동 부족으로 인한 신체 구조는 에너지를 소비하지 못하는 대신 저장 에너지를 늘리도록 작용하여 몸의 구석구석 지방을 쌓는다. ... 루이스 프타체크 박사는 식사습관이 바뀌면 PKC감마라는 유전자가 이를 감지해 식사시계를 다시 세팅한다는 연구결과를 발표했다.식사시계는 섭취한 영양소들이 소화관에서 흡수돼 혈류를 타고 ... 많이 첨가된 스낵식품 (포테이토칩, 팝콘, 크래커), 인스턴트식품 (라면, 즉석식품류, 통조림식품), 가공식품(치즈, 마가린, 버터, 케첩), 조미료(간장, 된장, 고추장, 우스터소스
    방송통신대 | 7페이지 | 3,000원 | 등록일 2017.02.26
  • 대학물리2실험 멀티미터 및 오실로스코프 작동법[예비레포트]
    할 때- CH 2 : CH 2를 트리거 소스로 할 때- LINE : AC 전원의 주파수에 동기되는 신호를 관측할 때 사용되며 측정신호 에 포함되는, 전원에 의한 성분을 안정적으로 ... 할 때- TV-V : 프레임단위의 비디오 합성신호 측정 시- TV-H : 주사선단위의 비디오 합성신호 측정 시[21] TRIGGER SOURCE- CH 1 : CH 1을 트리거 소스로 ... SWEEP가 선택되고, 반시계 방향으로 돌리면 SWEEP 폭이 최소 (1:1)로 되며, 시계방향으로 돌리면 SWEEP 폭이 최대(100:1)로 됩니다.
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.18
  • 전자시계
    논리설계 및 실험 텀프로젝트 전자시계 구현소스,세계 시각, 알람, 타이머, 현재시각, 시간변경 등의 기능이 구현되어 있습니다.
    리포트 | 3,000원 | 등록일 2016.11.28
  • 실험4) 타이머와 카운터 실습 7, 8
    - 디지털 시계(초/분) 구현 방법 이해3) 사전지식- 타이머를 이용하여 디지털 시계- 타이머로 LED 점멸하기 예제와 거의 유사- 시계표시를 위해 Array-FND를 사용- 타이머를 ... 이용하여 정확히 1초를 카운트4) 소스코드 분석소스코드소스코드 해석#include ... ▣실습8 : 타이머를 이용한 디지털 시계1) 실습개요- 타이머를 이용하여 디지털 시계의 기능을 설계- Array-FND 모듈에 마이크로 컨트롤러 출력 포트를 연결하고, 클럭을 이용하여
    리포트 | 9페이지 | 1,500원 | 등록일 2015.11.12
  • 허브 50가지 영어,학명과 요리방법
    맑은 청색의 꽃은 규칙적으로 피고 다섯 시간 후에 지기 때문에 꽃시계로도 가끔 썼다. 치커리의 꽃송이는 식초에 절여 피클 요리에 쓰인다. ... 프랑스 요리의 기본이라고 하는 많은 소스도 오레가노를 주요 재료로 한 고대 로마시대 소스의 발전된 형태라고 할 수 있다.이름파슬리 [Parsley]학명Petroselinum crispum파슬리는 ... 민트의 향은 기분을 상쾌하게 만들고 식욕을 돋워주기 때문에 모레 전부터 유럽에서는 민트 소스를 고기 요리의 필수적인 향신료로 사용해 왔다.
    리포트 | 16페이지 | 3,000원 | 등록일 2018.05.29
  • 빅데이터 레포트
    기법이 있다.⑧감성 분석- 문장의 의미를 파악하여 글의 내용에 긍정/부정, 좋음/나쁨을 분류하거나 만족/불만족 강도를 지수화, 그런 다음 이 지수를 이용하여 고객의 감성 트렌드를 시계열적으로 ... 현재는 오픈소스인 하둡의 성공으로 분산 병렬 데이터 처리 기술의 표준이 되었다.3-1. ... 일부 학자들은 빅데이터를 통해 인류가 유사 이래 처음으로 인간 행동을 미리 예측할 수 있는 세상이 열리고 있다고 주장 하고 있다.1-2.빅 데이터 구체화 7단계①수집- 데이터 소스들로부터
    리포트 | 11페이지 | 1,000원 | 등록일 2018.12.19
  • VHDL 디지털 시계
    1)디지털시계●목적· MODE SWITCH의 동작에 대하여 공부한다.· Debounce 회로의 동작에 대하여 공부한다.· 시계 조정 회로의 동작에 대하여 공부한다.· Timebase ... 이 디지털 시계는 stopwatch의 입력 신호인 1/100 sec 신호를 1sec 신호로 입력시키고 60진수 계수기로 변화시키면 기본적으로 동작되는 디지털시계를 만들 수 있다. ... 신호를 발생하는 회로로 디지털시계의 시간 기준 신호를 발생시키는 회로이다. 1sec는 시계를 위한 기본 시간 신호이고, 0.01sec stopwatch를 위한 기본 시간 신호이다.
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • A+레포트 임베디드시스템+8주차+결과+리포트 로봇학부
    즉 전압이 0 Volts 이다 전류 소스 모듈을 켜 터치 회로를 충전한다 . 일정 시간 동안 대기 한다 . 터치 회로의 충전을 중지하기 위해 전류 소스 모듈을 끈다 . ... buffer[2] 1 -4 -4 2 -4 0 3 -4 4 4 0 4 5 4 4 6 4 0 7 4 -4 8 0 -4 9 -4 -4 10 -4 0 반복 vector ++ 일 때 따라서 반시계방향으로 ... 버튼 기능 추가2) 위 실습 3 에서 마우스 조정 개선시 +2 점 추가 21 해당 소스가 보드에서 컴퓨터 ( 호스트로 ) 마우스의 3 바이트 패킷을 보내는 코드이다 .
    리포트 | 37페이지 | 4,000원 | 등록일 2019.08.18
  • 타이머와 카운터 결과보고서
    이때, 숫자의 점등 간격은 1초이다.실습 8 : 타이머를 이용한 디지털시계1)#include ... 1.0019초LED_Data++//LED_Data값을 1증가시킨다.timer0Cnt=0;//timer0Cnt값이 0이 된다.}sei();// 전체 인터럽트 Enable}실습 7 : 타이머로 LED 점멸소스
    리포트 | 5페이지 | 1,000원 | 등록일 2017.11.20
  • 모터를 이용한 각도제어 게임 보고서 A+자료 ( 아두이노 프로젝트 최종 보고서 코드,고찰,개요 모두 포함)
    소스코드//--------------------핀 번호 배정--------------------//int sensorA=2;int sensorB=3;int reset= 7;int CW ... 방향으로 회전한다.스위치 2 : 스위치 2를 누를 경우, DC 모터가 반 시계 방향으로 회전한다.스위치 3(Reset 스위치) : 버튼을 누른 시점까지의 모든 값을 초기화 시키고, ... 알림초록색 전부 켜짐- 미션 성공/ 빨간색 전부 켜짐- 미션 실패③ 작동 장치사용자의 입력만큼 모터가 회전해 각도를 표시한다.④ 제어스위치 1 : 스위치 1을 누를 경우, DC 모터가 시계
    리포트 | 15페이지 | 3,900원 | 등록일 2018.05.06 | 수정일 2020.11.27
  • 라인 쇼핑 아이콘 소스
    라인 쇼핑 아이콘 소스 이미지 입니다.
    리포트 | 1페이지 | 20,000원 | 등록일 2016.05.20
  • 쇼핑 플랫 아이콘 소스1
    쇼핑 플랫 아이콘 소스1 이미지 입니다.
    리포트 | 1페이지 | 20,000원 | 등록일 2016.05.20
  • 디지털시계
    분단위와 시단위 각각 2자리 추가Stop_watch소스library ieee;use ieee.std_logic_1164.all;entity st_watch isport (clk : ... in std_logic;--1kHz 클럭주파수mode : in integer range 4 downto 0;--시계의 동작모드가 1일 때 stop_watch 표시sw_f1 : in
    리포트 | 8페이지 | 1,000원 | 등록일 2009.12.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대