• 통큰쿠폰이벤트-통합
  • 통합검색(352)
  • 리포트(336)
  • 자기소개서(15)
  • 이력서(1)

"xilinx" 검색결과 161-180 / 352건

  • 전자전기컴퓨터설계실험2(전전설2)3주차예비
    또한 FPGA 디바이스를 모듈화 하여 xilinx의 모듈이 장착 가능하고 디바이스로 호환하여 사용할 수 있다. ... 실험의 목적(Purpose of this Lab)Xilinx 프로그램으로 HDL 중 하나인 verilog를 사용하여 전가산기를 구현하고 이를 FPGA를 이용하여 구현한다. ... Xilinx프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는
    리포트 | 18페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전자전기컴퓨터설계실험2(전전설2)5주차결과
    또한 FPGA 디바이스를 모듈화 하여 xilinx의 모듈이 장착 가능하고 디바이스로 호환하여 사용할 수 있다. ... 실험의 목적(Purpose of this Lab)Xilinx 프로그램으로 HDL 중 하나인 verilog를 사용하여 조합회로 중 디코더, 인코더, 멀티플랙서를 구현하고 그 원리를 이해한다 ... Xilinx프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는
    리포트 | 20페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Post
    Design Ⅱ Text-LCD Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 전자전기컴퓨터설계실험2(전전설2)4주차결과
    또한 FPGA 디바이스를 모듈화 하여 xilinx의 모듈이 장착 가능하고 디바이스로 호환하여 사용할 수 있다. ... 실험의 목적(Purpose of this Lab)Xilinx 프로그램으로 HDL 중 하나인 verilog를 사용하여 가산기 구현의 응용인 감산기를 구현하고 또한 비교기를 구현한다.2 ... Xilinx프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전자전기컴퓨터설계실험2(전전설2)3주차결과
    또한 FPGA 디바이스를 모듈화 하여 xilinx의 모듈이 장착 가능하고 디바이스로 호환하여 사용할 수 있다. ... 실험의 목적(Purpose of this Lab)Xilinx 프로그램으로 HDL 중 하나인 verilog를 사용하여 전가산기를 구현하고 이를 FPGA를 이용하여 구현한다. ... Xilinx프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • Lab#05 Combinational Logic Design 2
    Materials-FPGA(Filed Programmable Gate Array)-XC3S200-Xilinx ISE. ... 하지만, Xilinx의 특성상 Loop가 64번까지 반복되지 않기 때문에, 이론상 99까지의 Decimal의 값을 위의 코드로 표현하는 것이 가능하지만, 루프의 횟수를 초과하여 더
    리포트 | 26페이지 | 1,500원 | 등록일 2016.09.11
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습2 [예비레포트]
    이용하여 Verilog HDL을 실습한다.구현하려는 회로의 Verilog code를 직접 작성하여 시뮬레이션 및 디바이스 연결 후 출력 값이 이론적인 결과와 일치하는지 확인한다.Xilinx
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 전전컴설계실험2-4주차결과
    Xilinx ISE S/W 의 Project에 Schematic 파일을 추가하여 Full-Adder를 로직 설계한다.. ... Xilinx ISE S/W 사용한다.2. Full-Adder를 Synthesize - XST Compile 과정을 거쳐 컴파일한다3. ... -4주차 Post Lab#02-[HBE-ComboⅡ-SE]_board_[Xilinx_Spartan3]학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 교수님담당조교김민혁
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습2 [결과레포트]
    이용하여 Verilog HDL을 실습한다.구현하려는 회로의 Verilog code를 직접 작성하여 시뮬레이션 및 디바이스 연결 후 출력 값이 이론적인 결과와 일치하는지 확인한다.Xilinx
    리포트 | 22페이지 | 1,000원 | 등록일 2017.10.19
  • 서울시립대학교-전자전기컴퓨터설계실험2-제08주-Lab07_Post
    Design, FSM and Clocked Counter, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 전전컴설계실험2-9주차예비
    Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 자판기동작회로를 설계한다..2. ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4-bit up counter 를 설계한다..2. 4-bit up counter 를 Synthesize - ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 8-bit up/down counter 를 설계한다..2. 8-bit up/down counter 를 Synthesize
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전전컴설계실험2-7주차예비
    Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 BCD to Excess-3 Code Convertor를 설계한다..2. ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 2:1 Mux를 설계한다..2. 2:1 Mux 를 Synthesize - XST Compile 과정을 거쳐 ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4:1 Mux를 설계한다..2. 4:1 Mux 를 Synthesize - XST Compile 과정을 거쳐
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • Example of Clock Division
    50MHz = 20ns1 / 25MHz = 40ns위와 같이 간단하게 표현할 수 있으며 코딩 시에도 위의 숫자들을 그대로 적용할 수 있다.3) Port Design설계용 개발 툴은 Xilinx
    리포트 | 19페이지 | 1,000원 | 등록일 2010.04.10
  • 실험2 제04주 Lab02 Pre 4 Bit Full Adder
    하지만 이번 실험은 Xilinx ISE를 통해 Program한 회로를 입력시켜주어 FPGA를 이용하는 기초적인 실험으로써 굉장히 중요한 실험이다. ... Introduction1) Purpose of this LabHBE-Combo Ⅱ-SE의 사용법을 숙지하고 Xilinx ISE를 통해 Half adder와 Full adder, 나아가 ... Method1) Procedure of Lab 1① Xilinx ISE를 실행하여 New source에 2-input XOR gate와 2-input AND gate를 이용하여Schematic
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 시립대 전전설2 [1주차 결과] 레포트
    전자전기컴퓨터설계실험 ⅡPost-reportHDL을 사용한 디지털회로 설계 툴 (Xilinx ISE) 사용법1. Introduction (실험에 대한 소개)가.
    리포트 | 10페이지 | 2,000원 | 등록일 2019.07.29
  • 전자전기컴퓨터설계2_HBE-COMBO ll VerilogHDL 실습7[예비레포트]
    Materials(Equipments, Devices) of this Lab(1) Xilinx ISE다.
    리포트 | 14페이지 | 1,000원 | 등록일 2017.10.19
  • 논리회로설계실습-비교기-MUX-ALU-예비보고서
    이를 바탕으로 입력 A의 전송, 입력 A와 B의 가산, 감산, 입력 A의 증가, 입력 A와 B의 AND, OR, XOR연산, 입력 A의 NOT 연산 기능을 가진 ALU를 Xilinx
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 전전컴설계실험2-7주차결과
    Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 BCD to Excess-3 Code Convertor를 설계한다..2. ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 2:1 Mux를 설계한다..2. 2:1 Mux 를 Synthesize - XST Compile 과정을 거쳐 ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4:1 Mux를 설계한다..2. 4:1 Mux 를 Synthesize - XST Compile 과정을 거쳐
    리포트 | 20페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 결과보고서 #2- 반가산기, 전가산기 설계
    Xilinx ISE 를 통해 4가지 방법(동작적, 자료흐름, 구조적 모델링, schematic design)에 의해 회로를 구현하고 시뮬레이션 하여 결과를 확인한다.2.
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • [임베디드하드웨어]Xillinx및 VHDL기반의 숫자야구게임
    임베디드하드웨어 시간에 했던 Xillinx툴 및 VHDL기반의 코딩언어를 이용한 숫자야구게임최종보고서 및 프로그램소스코드 및 발표자료 전부 포함입니다.
    리포트 | 3,000원 | 등록일 2016.10.29 | 수정일 2016.11.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:24 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대