• 통큰쿠폰이벤트-통합
  • 통합검색(74)
  • 리포트(71)
  • 자기소개서(2)
  • 방송통신대(1)

"디지털스탑워치" 검색결과 1-20 / 74건

  • [전자전기컴퓨터설계실험2] Verilog를 이용한 디지털 시계 (알람, 스탑워치, LED 기능 포함)
    //Digital_Clock.vmodule Digital_Clock(RESETN, CLK, LCD_E, LCD_RS, LCD_RW, LCD_DATA, PIEZO, BUS, BUT,
    리포트 | 81페이지 | 5,000원 | 등록일 2020.09.07
  • 디지털 공학 팀프로젝트 스탑워치
    선정이유선정 과제를 무엇으로 할지 고민하던 중 7 세그먼트를 사용해봤고 평소에 사용하는 간단해 보이는 스톱워치의 작동 방법이 어떻게 되는지 궁금하여 자세히 알아보기 위해 선택했고또한 지금까지 배워온 기능들이 종합적으로 들어있고 명확하게 알수있기 때문에 선정하였다.Sto..
    리포트 | 9페이지 | 3,000원 | 등록일 2015.08.27
  • [텀프로젝트] 디지털시계, 디지털 스탑워치, 디지털 타이머 (회로, 시뮬레이션, 소자, 구동원리, PPT)
    발진회로• 이 회로는 100Hz 대신 110Hz의 주파수를 클럭에 사용하는 회로입니다. 회로 상에서는 주파수에 손실이 일어날 수 있어 계산하여 10Hz를 추가하였다. 실제 기판에서는 56K에 해당하는 저항을 100K 가변저항으로 대체함으로써 이를 보완하였다. • 핀 5..
    리포트 | 31페이지 | 4,900원 | 등록일 2019.04.05 | 수정일 2024.05.14
  • vhdl을 이용한 디지털시계+스탑워치 설계
    알람 모드 진입 후 최초 Run 모드 - Key[2]를 누르면 Set모드 진입, 계속 누를 시 다시 Run모드 - 시계와 알람의 시간이 일치하면 LEDG[8] 1분동안 깜빡임스탑워치 ... Key[3]을 누를 경우 FND만 정지, 시간 카운트 계속 동작, 다시 Key[3]을 누르면 현재 카운트 되고 있는 시간부터 FND 동작그 외 - 리셋기능 분리(시계+날짜, 스탑워치 ... 진입 시, Key[3] 누르고 있으면 자동 카운팅State문을 이용하여 총 5개의 모드 구현 (시계 Run, 시계 Set, 날짜 Run/Set, 알람 Run/Set 모드, 스탑워치
    리포트 | 13페이지 | 무료 | 등록일 2012.06.28 | 수정일 2018.05.29
  • <<AVR 스탑워치 만들기>>AVR스탑워치,초시계,디지털초시계,atmega128,회로도,소스코드,동작원리,스톱워치,타이머카운터,세그먼트,segment,타이머
    ['[AVR 스탑워치 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. ... 목 표 AVR ATmega128을 이용하여 스탑워치(Stop Watch)를 구현해본다. ... AVR의 Timer/Counter 기능을 이용하고 7-Segmnet를 이용하여 숫자를 출력하여 스탑워치를 구현한다.Ⅱ.
    리포트 | 11페이지 | 4,000원 | 등록일 2015.10.25 | 수정일 2017.04.20
  • EDA LAB-3000 적용한 디지털시계 / 스탑워치
    ││ │정지 │ │워치 │├───┼───────┼───────┼───┤│리셋│일반모드│현재날짜│달력│││년설정모드│년증가││││ ... ││ │초리셋 │초값리셋 │ │├───┼───────┼───────┼───┤│리셋 │시작 │미사용 │스탑 ... ───────┼───┤│리셋│일반모드│------│알람│││시설정모드│시증가││││분설정모드│분증가││└───┴───────┴───────┴───┘실습조별 과제로VHDL을 이용한 디지털시계를
    리포트 | 1,500원 | 등록일 2010.11.25
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] 기말 프로젝트(Final Project) 스탑워치(Stop Watch) 제작
    디지털시계와 스탑 워치의 동작을 모두 정의해주는 모듈이다. input신호로는mode_gen의 출력을 받아오는 mode, increase와 스탑 워치의 동작에 필요한 stop_run, ... stop_rst이 있고, ouput신호로는 스탑 워치의 lap_time 출력 3개, 시계 및 스탑 워치의출력을 하는 hour, min, sec, sec_decimal이 있다. ... 디지털시계 동작(time_gen)? 디지털시계의 기능을 구현한 block이다.
    리포트 | 22페이지 | 5,000원 | 등록일 2014.10.21 | 수정일 2016.06.15
  • 디지털 시계 회로 쿼터스 파일 포함(시계, 스탑워치, 알람, 윤달 기능 달력)
    기능에서 설정 스위치, 단 스탑워치에서는 스탑워치의 start/stop 기능■ SET : 각 모드별로 값을 증가시키고 스탑워치에서는 값을 초기화● 출력■ SEG1, SEG2, SEG3 ... ■ 디지털 시계의 기능● 입력■ CLK : 외부에서 제공하는 시스템 클럭으로 8MHz의 신호가 입력된다■ SW1 : 시계, 달력, 스탑워치, 알람의 모든 변경 기능■ SW2 : 각각 ... 증가월 설정모드월 증가년 설정모드년 증가타이머 모드START/STOPRESET알람 모드분 설정 모드분 증가시 설정모드시 증가오전 오후 설정모드오전/오후 전환알람시간 출력모드∙● 디지털
    리포트 | 13페이지 | 4,000원 | 등록일 2008.01.04
  • Altera Quartus를 이용한 디지털시계 설계 소스 및 ppt 자료(시계,알람,스탑워치 기능포함 소스)
    디지털 설계소스 및 파워포인트 자료목차1.시계의 기능 및 작동원리2.블록다이어그램 및 코드3.시뮬레이션 결과
    리포트 | 15페이지 | 4,000원 | 등록일 2008.11.03
  • vhdl을 이용한 디지털시계 소스(lcd,led,도트매트릭스,스탑워치,수정기능)포함 완벽
    여러가지 기능을 포함한 디지털시계 소스입니다컴파일 이상없구요맥스 플러스II돌려야합니다~vhdl로 만듬
    리포트 | 50페이지 | 3,500원 | 등록일 2007.12.08
  • 아날로그 및 디지털회로 설계 실습 실습12_Stopwatch 설계_결과보고서
    서론스탑워치는 일상생활에서 자주 쓰이는 전자기기이다. 스탑워치의 기능을 구현하기 위해서는 다양한 디지털 회로 구성요소에 대한 이해가 필요하다. ... 스탑워치 뿐만 아니라 다양한 기능을 하는 전자기기들의 기능을 구현하기 위해서 분석 능력을 기르는 것이 중요하다고 할 수 있다. ... 요약이번 실습에서는 BCD 카운터와 7-segment를 이용해 스탑워치를 제작했고 회로의 구성요소들이 올바르게 동작해 설계 목표를 달성한 것을 확인했다.2.
    리포트 | 3페이지 | 1,000원 | 등록일 2024.08.27
  • term project - ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계
    시/분/초 표시 가 가능하고 시간을 자유롭게 변경 가능한 디지털 시계와 1/10초 단위로 시간을 표시하고 시작/일시정지/재개/초기화 기능을 가지는 디지털 스탑워치와 555 타이머와 ... 검토 및 고찰디지털시계디지털타이머디지털스탑워치구현 목표시간변경,시/분/초 표시타이머 숫자표시,알람1/10초 단위 표시,시작, 정지, 재개, 초기화 기능제작 결과시간변경,시/분/초 표시알람1 ... 또한 초와 분은 60진 카운터이므로 61이 되지 않기 위하여 q3단자는 사용하지 않았다.디지털 스탑워치의 구현 목표인 1/10초(10hz)를 얻기 위하여 555타이머를 이용하여 저항값과
    리포트 | 14페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다.2) 설계 목표VHDL을 이용하여 스탑워치를 만든다. ... 채터링 방지 스위치의 작동과 그에 따른 스탑워치 작동과 멈춤clk_chat(채터링 방지 기능)분주를 하고, 채터링 방지 디바운싱 코드를 구현한다. ... FSM의 도형적 표현으로는 상태표/상태도가 있다.2) 클록 분주설정스탑워치에서 분, 초 1/100초에 대하여 서로 다르게 분주기가 설정된 클록을 사용하여 설계된다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 전전설2 final project 쉬운 코드 [2020년 최신, A+, 디지털시계, 5가지 기능]
    조정시 BUTTON SW 4, 8로 시간 START/STOP 가능- 현재 시간 조정시 BUTTON SW 1~3, 5~7을 통해 조정- 현재 시간 조정시 리셋버튼을 통해 시간 리셋- 스탑워치와 ... 1.
    리포트 | 5페이지 | 4,000원 | 등록일 2021.11.23
  • 12. Stopwatch 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    (검증 후 추가 점수)추가할 수 있는 기능으로는 타임랩스 스위치를 만들어 스탑워치가 작동될 때 타임랩스 스위치를 눌러 누른 그 시각의 스탑워치의 시간을 기록하는 기능을 만들 수 있다 ... 아날로그 및 디지털 회로 설계 실습-실습 12 결과보고서-Stopwatch 설계학과 :담당 교수님 :제출일 :조 :학번 / 이름 :12-4.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • (컴퓨터의 이해) 다음 문제에 대하여 주요 내용을 ①, ②, ③, ④ 번호를 붙여서 4가지 이상 설명하고 관련된
    스탑워치 등 헬스케어로서의 기능이 가장 크다. ... 이중 사물인터넷은 통신망을 통해 각종 사물간의 정보를 교환하고 가공함으로써 디지털 사회에서 한층 더 진보적인 서비스를 제공하기 위해 반드시 필요한 기술이다. ... 웨어러블 디바이스란 단어 그대로 해석하면 입는 컴퓨터 즉, 신체에 착용하여 컴퓨터와 같은 기능을 실행할 수 있는 디지털 장치 어플리케이션으로 정의할 수 있다.
    방송통신대 | 7페이지 | 2,000원 | 등록일 2023.02.03 | 수정일 2023.02.06
  • A+ 중앙대 아날로그및디지털회로설계실습(예비)12. stopwatch 설계 할인자료
    12-112-212-312-4로드 없이12-112-212-312-4
    리포트 | 5페이지 | 2,500원 (10%↓) 2250원 | 등록일 2022.09.10
  • 12. Stopwatch 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    이후 스위치를 추가하여 Stop/Restart 기능과 Reset 기능도 구현할 수 있었다.만약 몇 개의 칩들을 같은 방식으로 추가 연결한다면 스탑워치 뿐만 아니라 간단하게 디지털 시계도 ... 회로를 구성하고 74HC192 의 TCU 의 출력을 다른 74HC192 의 입력에 연결하며 여러 자리수의 Stopwatch 회로를 설계할 수 있다.참고 자료 : 실습 교재 이론부, Digital ... 아날로그 및 디지털 회로 설계 실습-실습 12 예비보고서-Stopwatch 설계소속중앙대학교 전자전기공학부담당 교수님*** 교수님제출일2021.12.02(목)분반, 조**분반, *조학번2
    리포트 | 11페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
  • GS E&R 전기기술직 합격자소서
    알람 시계, 스탑워치 등의 회로를 직접 설계했던 디지털시스템구조 학문, 마이크로 프로세서과목에서 A학점을 받으며 학창시절을 보냈습니다. ... 과목들이 있지만 특히 저는 회로 쪽의 학문에서 두각을 나타내었습니다.전기회로에서의 임피던스 개념을 바탕으로 하여 각 상태에서의 회로현상을 다루는 회로이론, 회로망해석 과목과 계산기, 디지털
    자기소개서 | 4페이지 | 3,000원 | 등록일 2024.06.27
  • 실험4 Digital Clock 프로젝트 보고서
    기능총 4가지 Mode가 존재하며, Button 1을 이용하여 Mode를 변경할 수 있다.Mode 0 : Digital Clock가장 기본적인 기능으로써 Timer를 이용한 디지털 ... 실험(4) 프로젝트 : Digital Clock 설계 지시사항Project : Digital Clock 설계Microprocessor 실험 및 설계1. ... 워치알람 모드카운트 다운mode 4 : multiplication, new그 외에 기본 시계모드로 돌아감print_FND_2bit(msec, 0, 0);, print_FND_2bit
    리포트 | 25페이지 | 5,000원 | 등록일 2020.01.02 | 수정일 2021.12.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:29 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대