• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,703)
  • 리포트(2,456)
  • 자기소개서(83)
  • 방송통신대(76)
  • 시험자료(75)
  • 논문(9)
  • 서식(3)
  • 이력서(1)

"디지털시계만들기" 검색결과 1-20 / 2,703건

  • 디지털 시계 만들기 제안서
    카운터, 7-Segment, 분주 회로, 오실레이터 등을 사용해 디지털 시계를 구성하며 Flow Chart를 토대로 디지털 시계의 설계를 진행한다.? ... [프로젝트 개요]디지털 시계란 카운터를 이용해 설계할 수 있는 대표적인 순차 회로 중 하나이다. ... 디지털 시계 설계 Flow Chart(1) 시/분/초 표시 기능? 크리스탈 오실레이터에서 크리스탈 칩을 통해 10Mhz를 발생시킨다.?
    리포트 | 6페이지 | 2,000원 | 등록일 2021.06.01
  • [디지털 공학 실험] 7-seg로 디지털 시계 만들기 보고서
    /1589239 빵판에다 만드는 디지털 시계(Digital Clock)https://www.youtube.com/watch? ... 실험 목적7조제출일자팀원이름(학번)설계 목표● 한 학기 동안 배운 디지털 공학 실험 이론을 통하여 디지털 시계를 설계한다.- SET 단자를 누를 시 시계의 동작 기능을 한다(시/분/ ... PPT에 넣을 문제점 정리.참고자료http://murcielrago.tistory.com/13 [디지털 클락] Digital Clock 제작에 필요한 IC Chiphttp://rabe.egloos.com
    리포트 | 12페이지 | 2,000원 | 등록일 2020.01.01
  • 디지털시계 만들기 회로도
    6진 카운터 설계- 이론 조사 시 설계.(2) 디지털 시계 회로도 및 소자 설명. 디지털 시계 회로도은 우리가 설계 및 작성을 해야하는 디지털 시계의 전체적인 회로도이다. ... 설계목적1 / 18(1) 그 동안 학습했던 내용을 토대로 디지털 시계를 설계(2) 디지털 응용회로 설계에 대한 개념 정립 및 설계 절차 학습.2. ... 이와 같은 디지털 시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자.
    리포트 | 18페이지 | 2,000원 | 등록일 2015.01.22
  • 자바로 만든 디지털시계
    자바로 만든 디지털시계입니다.
    리포트 | 1,000원 | 등록일 2014.01.11 | 수정일 2014.10.13
  • 디지털 시계 만들기
    디지털 시계 만들기필요 부품Seven segment 6개7447A BCD to seven segment 디코더/구동기 5개7483A 4bit binary full adders with
    리포트 | 3페이지 | 3,000원 | 등록일 2010.06.15
  • <<AVR 알람시계 만들기>>AVR알람시계,디지털워치,와치,ATmega128,회로도,소스코드,동작원리,타이머,1초,시간설정,AVR디지털시계,전자시계,부저,디지탈시계,디지털시계 제작
    ['[AVR 알람시계 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. 목 표보고서에서는 AVR을 이용한 디지털 알람시계를 만드는 법에 대하여 알아보도록 한다. ... 본 디지털 시계에서는 아래와 같이 총 5 byte의 데이터를 저장하고 불러온다. ... (1) Timer/counter를 이용한 ‘1초’ 카운트디지털 시계는 1 Sec 단위로 카운팅되면서 시간이 표시된다.
    리포트 | 29페이지 | 7,000원 | 등록일 2015.12.04 | 수정일 2017.04.20
  • 자바로 만든 디지털시계
    import java.awt.*;import java.awt.event.*;import java.util.Calendar;public class DigitalClock extends Frame implements WindowListener,Runnable{public ..
    리포트 | 1,000원 | 등록일 2009.10.30
  • MFC로 만든 텍스트기반 디지털시계
    .// 시계가 동작중인 경우if(clock.GetExecState() == TRUE) {CString currTime = clock.GetCurrentTime().Format("현재시간
    리포트 | 2,000원 | 등록일 2012.03.31
  • 디지털시계를 만든후
    TTL IC로 구현한 디지털시계완성된 디지털 시계본 회로에서는…타이머는 업 카운터 전용으로 한다. NE555를 사용하지않고 10MHz의 주파수 발진을 사용하였다. ... 만드는 과정에서 완성까지~6. ... 대부분의 부품들은 일정한 규격과 룰을 가지고 만들어지므로 기본 사용법을 알고 있다면 익숙하지 않은 부품이라할지라도 활용하는데 어려움은 없을것이다.
    리포트 | 12페이지 | 1,500원 | 등록일 2009.06.13
  • 디지털시계 만들기
    디지털 vs 아날로그- 디지털은 손가락이란 뜻의 라틴어 디지트 (digit)에서 온 말이다. ... 한국은 1424년 장영실(蔣英實)이 세종의 명을 받아 물시계의 일종인 누각(漏刻)을 만들었다. ... 제작후기디 지 털 시 계 제 작디지털 시스템 (주간)김혜종, 박미란Ⅰ. 디지털시계의 개요ⅰ. 개요A.
    리포트 | 50페이지 | 4,000원 | 등록일 2006.12.18
  • [디지털실험][설계과목]디지털 시계 만들기
    6진 카운터 설계- 이론 조사 시 설계.(2) 디지털 시계 회로도 및 소자 설명. 디지털 시계 회로도은 우리가 설계 및 작성을 해야하는 디지털 시계의 전체적인 회로도이다. ... 설계목적/ 18(1) 그 동안 학습했던 내용을 토대로 디지털 시계를 설계(2) 디지털 응용회로 설계에 대한 개념 정립 및 설계 절차 학습.2. ... 이와 같은 디지털 시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자.
    리포트 | 18페이지 | 1,000원 | 등록일 2010.03.25 | 수정일 2014.11.18
  • DE2 보드 이용 디지털 시계 만들기
    oCLK_1Hz ... 핀 설정 및 코딩에서의 에러 때문에 시간이 오래 걸렸지만 결국 에러를 수정하고 핀 설정을 수정한 후에 시계가 동작하는 것을 확인하였다. ... 왼쪽 2개의 led 가 시간, 그 다음 2개의 led 가 분, 마지막 2개의 led 가 초를 나타낸다. 1초마다 시계가 올라가며 60초에 초 led 는 00 으로 바뀌며 분 led
    리포트 | 8페이지 | 3,000원 | 등록일 2011.06.24 | 수정일 2015.07.19
  • FPGA DE2보드를 이용해서 디지털시계만들기 (시계, 알람, 스톱워치기능 포함 )
    FPGA설계로 디지털시계를 구현하고, 그에 알맞은 스톱워치기능, 알림기능, 시간설정기능을 16 x 2 character LCD표시소자로 나타낸다.디지털시계- 디지털시계의 기능은 크게 ... 디지털클럭, 타이머 및 알람 기능으로 구성되며 이것을 VDHL기반으로 Quartus Ⅱ툴을 사용하여 설계하고 Altera DE-2 보드에 설계결과를 다운로드 후 동작여부를
    리포트 | 3,000원 | 등록일 2014.12.30
  • Spartan 3E Board를 사용하여 디지털 시계 만들기
    이러한 사회적 요구를 바탕으로 시계를 직접 제작하고자 한다.설계 목적사람들에게 정확한 시간과 실생활에서 시간과 관련된 필요한 기능을 제공하는 디지털 시계를 제작하는데 목적이 있다.구현 ... Spartan 3E에서 발생되는 50MHz의 Clock을 디지털 시계에서 사용되는 1Hz의 Clock과 Debouncing 회로, LCD출력 회로에서 사용되는 1kHz의 Clock을 ... 전자전기컴퓨터설계실험2Spartan 3E Board를 사용한 Digital Clock 설계제출일자: 2010-12-14지도 교수: 김규식 교수님지도 조교: 김경훈 조교님2009440088
    리포트 | 23페이지 | 5,000원 | 등록일 2011.10.30
  • VHDL 을 이용한 디지털 시계 만드는 최종 보고서
    스톱워치를 만들 예정 입니다 . 분 , 초 , 그리고 알람 기능도 넣었습니다 . ... VHDL 최종 보고서목 차 설계의 목표 자료조사 사용부품 사용장비 구현방법 기대효과 일정계획 ( 블록도 ) 프로그램 소스설계의 목표 무엇을 만들 것인가 ?
    리포트 | 20페이지 | 2,000원 | 등록일 2010.12.23
  • 8051을 이용한 다기능 디지털 시계 만들기
    ..PAGE:1마이크로 프로세서 응용실험2008. 12. 17다기능 디지털 시계Digital Clock*..PAGE:21. 조 원2. 목 표3. 일 정4. 분 담5. 소 스6. ... 결 과초기화면① 모드1 (디지털 시계 기능, 알람 기능)- 월/ 일/ 요일/ 시/ 분 /초 구현(각요소 Key2개로 세팅가능)- 시계 구동/정지가능 (KEY3 : TR0 =~ TR0 ... 목 표지난 한 학기 동안 마이크로프로세서 응용실험시간에배웠던 내용들을 복습하고 설계과제를 통하여 완전히이해하도록 한다.8051kit의 다양한 기능을 활용하여 다기능 디지털 시계를구현한다
    리포트 | 24페이지 | 10,000원 | 등록일 2009.11.30
  • 디지털 기초설계 시계만들기 <제안서, 중간보고서 결과보고서, 회로도, 재료전부다있습니다)
    설계 목표아래의 조건들을 만족하는 디지털시계 제작을 통하여, 디지털 회로의 동작 이론 숙지와 하드웨어 시스템 설계 시 고려 사항의 적용, 전체 회로 시뮬레이션, 부품 배치와 wiring ... 분’의 1의 자릿수를 구성하는 10진 카운터를 만듭니다.Ⅲ) 12진 카운터74LS90 IC칩을 2개 이용하여 ‘시’를 구성하는 12진 카운터를 만듭니다. ... 등 전반적인 하드웨어 설계/제작 경험을 고취한다.* 수행 조건ⅰ) 시/분/초를 나타내는 기본적인 시계의 기능을 수행한다.ⅱ) 매초마다 깜빡이는 다이오드를 통해 시계의 동작을 확인한다
    리포트 | 2페이지 | 5,000원 | 등록일 2016.08.18
  • <<AVR을 이용한 컴퓨터 사용시간 타이머 만들기>>AVR타이머,전자시계,카운터, AVR졸업작품,ATmega128,회로도,AVR작품,아트메가128,작품 만들기,디지털 시계,시간측정
    ['[AVR을 이용한 컴퓨터 사용시간 타이머 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. ... 본 타이머는 컴퓨터의 사용시간을 시:분:초로 표시하기 위해서 2-digit Segment 3개를 사용하고 있다.
    리포트 | 18페이지 | 4,000원 | 등록일 2016.03.02 | 수정일 2017.04.20
  • 디지털 논리회로 시계 타이머 스탑 와치 알람 만들기 maxplus이용 (코드, 보고서)
    module digitalclock(swbutton1, swbutton2,set,reset,clk,segment1,segment2,segment3,segment4,segment5,segment6,led1,led2,led3,led16);input swbutton1;inp..
    리포트 | 21페이지 | 3,000원 | 등록일 2014.01.12
  • VHDL로 만든 디지털시계100%작동
    연구개발의 최종목표 - AM/PM 변환 버튼을 만들어 변하게 하고 LED의 깜박임을 통하여 나타낼 것이다 기본 적으로 시, 분, 초, 100분의 1초를 만들어서 4개의 7세그먼트 ... 현재 시간 설정은, 버튼 3개를 이용하여 시, 분, 초를 각각 제어 하도록 하고 리셋 버튼은 따로 만드는 것이 목표이다. 나.
    리포트 | 12페이지 | 1,000원 | 등록일 2007.12.27
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 20일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:33 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기