• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(97)
  • 리포트(78)
  • 시험자료(9)
  • 자기소개서(7)
  • 방송통신대(2)
  • 논문(1)

"디지털 시계 소스코드" 검색결과 1-20 / 97건

  • 디지털 시계 소스코드
    = 0;int N1000, N100, N10, N1;//모드 변수char mode = 0;//시계 변수int watch_count = 0;int second = 0, minute ... == STOP) || (stopwatch_count_control == RESET)){stopwatch_count_control = GO;}else if(stopwatch_count_control ... = 0;// 스탑워치 변수 설정int stopwatch_count = 0, stopwatch_count_control = 0;int number_count = 0, number_count_temp
    리포트 | 10페이지 | 2,000원 | 등록일 2016.01.14
  • VDHL을 이용한 디지털시계 설계 소스코드 (추가 기능 없음)
    arc of clock issignal clk_1s, clk_500ms, clk_1ms : std_logic;signal sec, sec10, min, min10 : integer ... Library ieee;Use ieee.std_logic_1164.all;Entity clock isport(clk : in std_logic; -- 20MHz seg_com : buffer ... std_logic_vector(3 downto 0); seg_data : buffer std_logic_vector(7 downto 0));End clock;Architecture
    리포트 | 3페이지 | 1,000원 | 등록일 2016.02.07 | 수정일 2016.02.12
  • <<AVR 알람시계 만들기>>AVR알람시계,디지털워치,와치,ATmega128,회로도,소스코드,동작원리,타이머,1초,시간설정,AVR디지털시계,전자시계,부저,디지탈시계,디지털시계 제작
    ['[AVR 알람시계 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. 목 표보고서에서는 AVR을 이용한 디지털 알람시계를 만드는 법에 대하여 알아보도록 한다. ... (1) Timer/counter를 이용한 ‘1초’ 카운트디지털 시계는 1 Sec 단위로 카운팅되면서 시간이 표시된다. ... 본 디지털 시계에서는 아래와 같이 총 5 byte의 데이터를 저장하고 불러온다.
    리포트 | 29페이지 | 7,000원 | 등록일 2015.12.04 | 수정일 2017.04.20
  • <<AVR 스탑워치 만들기>>AVR스탑워치,초시계,디지털시계,atmega128,회로도,소스코드,동작원리,스톱워치,타이머카운터,세그먼트,segment,타이머
    ['[AVR 스탑워치 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. ... 개발환경 · OS : Windows · Program tool : AVR Studio, Atmel studio · Compiler : AVR-GCC · Language : C language ... = 0;unsigned int min_d1,min_d2,sec_d1,sec_d2,frm_d1,frm_d2;unsigned int stop_flag = 0;unsigned int count
    리포트 | 11페이지 | 4,000원 | 등록일 2015.10.25 | 수정일 2017.04.20
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    이러한 이유로 BCD코드를 8421코드라고도 부른다.(5) BCD to 7 segment Decoder세그먼트 디스플레이는 디지털 카운터와 시계 등에서 숫자를 표시할 때 자주 사용된다 ... 디지털 시계는 7 세그먼트 디스플레이에 세그먼트들의 조합을 이용하여 시간을 표현한다. ... `C`(2) 소스 코드동작적 표현 (if문 사용)자료흐름적 표현 (when-else문 사용)if (조건 1) then 문장 1;elsif (조건 2) then 문장 2;.....else
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    마찬가지로 분을 표현하는 60진 카운터가 60에 도달하는 것을 계수하는 24진 카운터를 만들면 이것이 시를 표현하는 시계가 되고 모두 합하면 하나의 온전한 시계가 된다.2.소스코드 ... DE2 보드는 common annode 방식으로 0을 출력할 때 표시가 된다.2.소스코드 설명4~7)BCD 값을 입력받기 위한 포트와 FND 표시를 위해 출력용도의 포트 선언.11~ ... 25,000,000번째 클럭에서 부호를 바꿔주면 주기가 1초인 펄스 하나를 만들 수 있다.시뮬레이션에서는 1초를 볼 수 없기 때문에 부호를 2,500번째 클럭에서 바뀌도록 했다.2.소스코드
    리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    소스코드본 실험에서 작성한 디지털 시계의 전체 소스코드는 분량이 매우 긴 관계로 이 보고서에는 싣지 않고, 보고서와 함께 별도의 파일로 첨부한다. ... 이 디지털 시계는 [표 1]의 필수 동작과 선택 동작을 구현해야 하며, 실제 장치에 연결하여 소스코드의 내용과 디지털 시계의 동작 일치 여부를 확인한다.1)Text LCD를 사용2) ... [사진 1] 변수 선언 (소스코드 일부)디지털 시계 소스코드의 모듈명은 Final_3이고, 입력으로는 RESETN(리셋), CLK_1MHZ, 출력으로는 LCD_E, LCD_RS, LCD_RW
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 논리회로실험 프로젝트 2, stop watch 설계
    설계 방법 및 결과1) 소스코드입력, 출력 및 변수 설정 & 7 segment- cnt_dc는 LED판이 총 6개 이므로 각 부분에 7 segment를 할당해 주기 위해 0~5까지로 ... 이론적 배경1)BCD- BCD(binary coded decimal) 란 십진수로 된 숫자를 표현할 때 한 자리의 숫자를 2진수로 표현하는 방법이다. 2진수는 주로 4비트가 활용된다 ... /wiki/Counter_(digital)http://soofi.tistory.com/entry/%EC%B9%B4%EC%9A%B4%ED%84%B0counter-%EA%B3%84%EC
    리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    설계 결과1) 소스코드BCD adder1bit adder- 구조적 모델링을 사용하여 bcd 가산기를 설계하였다. ... /comb_6.htmlhttp://princess.kongju.ac.kr/digitalmain/dvlec/textbook/chap05/digital05_2.htm5. ... 출처1) BCD & BCD adderhttp://www.electrical4u.com/bcd-or-binary-coded-decimal-bcd-conversion-addition-subtraction
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    예를 들어, 동기 신호를 사용하여 시계 신호에 따라 동작하는 회로를 설계하거나, 비동기 신호를 사용하여 이벤트에 응답하는 회로를 설계할 수 있습니다. ... 예를 들어, 디지털 회로가 다른 회로나 장치와 상호작용하기 위해 어떤 신호와 프로토콜을 사용하는지 이해하고, 이를 HDL 코드로 표현해야 합니다.※ 석사 수준에서는 디지털 회로와 다른 ... 이를 위해 소스 버전 관리 도구의 기본 개념과 명령어를 학습하고, 실제 프로젝트에서 버전 관리를 직접 수행해 보는 것이 도움이 됩니다.
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.06.09 | 수정일 2024.06.05
  • 인코더와 디코더 회로 예비 보고서(고찰포함)A+
    )디지털 회로는 LED 또는 LCD같은 디스플레이 장치를 사용하는 출력을 나타내게 되는데, 시계나 전자 계산기 등이 좋은 예이다. 7-세그먼트는 디지털 신호에 의해 발광하는 LED가 ... 세그먼트 표시기를 위한 디코더 이며, 7448,7449는 캐소스 공통형 7 세그먼트 표시기를 위한 디코더이다.고찰인코더와 디코더 회로 예비보고서를 작성하면서 디코더와 인코더의 개념은 ... 또한 BCD-7 세그먼트 디코더는 BCD숫자에 대해 4개의 입력과 선분을 선택하는 데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력(a,b,c,d,e,f,g)은 다음 표와
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 전자전기컴퓨터설계실험2(전전설2) (6) Flip-Flop and Register, SIPO
    Combinational Logic디지털 회로 이론에서 조합 논리(combinational logic)는 현재 입력에 따라 출력이 항상 똑같이 결정되는 논리회로를 말한다. ... 시계의 카운터 회로나 기타 복잡한 디지털 회로에는 필수적 요소이다.SETRESETQ11변화 없음01110000유효하지 않음[표 1] S-R 래치(NAND)의 진리표[사진 1] S-R ... [사진 7] 4비트 병렬 데이터 전송 회로 0 소스코드[사진 8] 4비트 병렬 데이터 전송 회로 1 소스코드[사진 9] 4비트 병렬 데이터 전송 회로 2 소스코드위의 세 가지 소스코드
    리포트 | 44페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 선정된 개인용 컴퓨터 또는 모바일 장치의 운영체제 이름과 프로세서 이름을 적고, 참고문헌 또는 인터넷 등을 참조하여 그 기능이나 특징을 간단히 설명한다.
    기능과 특징을 몇 가지 정리해 보도록 하겠다.- 개방성: 소스 코드 오픈, 등록비 및 라이선싱 비용 무료 제공 등 많은 개발자의 참여를 독려하는 특징을 보인다. ... GNU 라이선스 정책에 의거, 소스 코드만 공개하면 누구나 무료로 사용할 수 있다는 점은(일부 특허 제외) 개선이 쉽다는 장점과 함께 많은 개발자의 참여를 독려한다.Android의 ... Webkit엔진을 기반으로 한 통합브라우저 제공 등이 특징이다.(3) 태블릿 PC스마트 디지털 페이퍼(디지털로 제공되는 논문 등 학습자료에 필기), 다양한 생산성 애플리케이션 사발전과
    방송통신대 | 8페이지 | 3,300원 | 등록일 2020.07.06 | 수정일 2020.07.08
  • SDU 디지털사회 윤리 퀴즈 정답
    디지털 사회의 윤리적 이슈 중 인터넷의 (순기능이 아닌) 역기능으로 볼 수 없는 것은?① 악성코드 배포② 사물인터넷 활성화③ 개인정보 침해④ 저작권 위반3. ... [보기] ( ) 는 안경, 시계 등 착용하거나 의복과 같이 입을 수 있는 형태의 컴퓨터를 뜻한다.① 드론② 웨어러블 컴퓨터③ 클라우드 컴퓨팅④ 팬덤6. ... ① 웹 2.0 - 플랫폼으로서의 웹② 웹 2.0 - 개방, 참여, 협력, 공유③ 웹 3.0 - 참여, 오픈소스 S/W④ 웹 3.0 - 지능화 된 웹, 개인별 맞춤 서비스8.
    시험자료 | 2페이지 | 2,000원 | 등록일 2020.04.08
  • 고려대학교 일반대학원 산업경영공학부 연구계획서
    연구계획서저는 고려대학교 대학원 산업경영공학 전공에 입학을 하고 나서 퍼지 이론을 기반으로 분할 및 가지치기 절차를 통합하여 의사결정 트리를 개선하는 연구, TSDNet: 장기 시계열 ... 고차 근접성을 사용한 작업 중심 협업 그래프 임베딩 연구 등을 하고 싶습니다.저는 또한 칼만 필터를 이용한 비전 유도 로봇 정확도 향상 연구, 탄력적인 재충전 배터리 생산을 위한 디지털 ... 코드 변환 접근법 연구, GPU를 사용한 메모리 중심 최적화를 통해 완전 동형 암호화에서 100배 이상 빠른 부트스트래핑 연구, 서비스 품질 벤치마킹, 종합 품질 관리 및 비즈니스
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.01.30
  • TIMER 기초 결과보고서
    소스코드 각라인이 어떤 역할을 했으며, 어떻게 실험 결과로 이어졌는지 구체적으로 분석 기술한다. ... 코드에 delay()함수를 사용하지 않아 시리얼 모니터에 출력되는 간격이 매우 좁은 것을 알 수 있다.타이머를 이용한 스위치/LED 멀티태스킹(4) 아두이노 우노 보드의 적당한 디지털 ... -SimpleTimer timer : 타이머를 사용하겠다고 선언하는 코드이다.- timer.setInterval (long d, timer_callback f) : d밀리초마다 함수
    리포트 | 8페이지 | 1,000원 | 등록일 2021.09.25
  • 물류관리사 물류정보파트 정리본
    스,넓/스->1:2~1:3비율(표준:1:2.5)-Intercharacter Gaps: 문자들 간 스페이스(x디멘션 크기)*바코드 응용:창고·물류·도서·병원·문서 관리*바코드 표시-소스마킹vs인스토어마킹소스 ... POS입력:고객관리*수집,분석-매출분석:인기,비인기,신제품 판매경향-고객정보분석-시계열:시간대,일,요일,주,월 판매분석( 강화, 정보전달 효율화, 고속화, 화물추적-> 고객서비스 ... : 양방향 판독지원, 시작문자는 심벌 앞부분 기록(데이터 입력방향,방식,바코드 종류->스캐너에 알려줌)/ 멈춤문자는 심벌 끝-Check Digit:검사문자->오류,부정 검출-Interpretation
    시험자료 | 7페이지 | 1,500원 | 등록일 2023.02.25
  • 전자전기컴퓨터설계실험2(전전설2) (8) 7-Segment and PIEZO Control
    [사진 46]의 소스코드는 피에조/7-세그먼트의 입출력을 버튼 스위치와 버스 스위치, 피에조와 7-세그먼트에 맵핑한 것이며, [사진 47]의 소스코드는 피에조/7-세그먼트의 동작을 ... 작성한다.작성한 소스코드를 저장한다.Project ⇒ Add Source작성한 소스코드를 추가한다.Synthesize ⇒ XST CompilFile을 실행한다.Manage Configuration ... In-Lab 과제1) 출력 값을 FND와 FND Array에 표시하는 4비트 카운터의 소스코드를 작성하시오.
    리포트 | 22페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 마이크로프로세서+6주차+결과보고서-실습8 Timer를 이용한 디지털 시계
    실습 내용ATmega128 마이크로컨트롤러를 이용한 타이머를 구현하여 디지털 시계의 기능을 설계해본다. ... 12019' 마이크로프로세서 실험 및 설계2019년 마이크로프로세서 실험 및 설계6주차 실험보고서실습8 : Timer를 이용한 디지털 시계 < 결과 보고서>11. ... C0 ~ C3는 가독성을 위해서 이렇게 작성한다.● 코드에서 while안의 반복문에 _delay_ms(1) 4번은 잔상을 주기위해서 0.0111초를 오버플로우는 WHILE문과 관계없다
    리포트 | 9페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 컴활 2급 1과목 필기 요약본 입니다.
    대가없이 무료오픈소스 ? 소스코드까지 무료셰어웨어 ? 일정기간동안 무료베타버젼 ?일반인 테스트상용 ? 돈받고 판매유틸리티 ? 컴퓨터 동작에 필수 아님!!! ... 입을 수 있는 컴퓨터디지털-논리회로,전자시계,계산하고,느리고,정확아날로그 ? ... 증폭회로,바늘시계,디지털 반대CPU 중앙처리장치레지스터-CPU 내부의 임시 기억장치(속도가 가장빠름)연산장치 ? 가산기-덧셈보수기 ? 보수하여 뺄셈누산기 ?
    시험자료 | 8페이지 | 1,500원 | 등록일 2021.07.11 | 수정일 2022.06.20
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:10 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기