• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(434)
  • 리포트(406)
  • 자기소개서(19)
  • 시험자료(5)
  • 논문(3)
  • 방송통신대(1)

"디지털 LCD 시계" 검색결과 1-20 / 434건

  • [8051 어셈블리어] 다목적 디지털 LCD 시계 (날짜,시간,요일 변경, 알람, 스톱워치, 타이머, 세계시간, 크리스마스 모드)
    1. 표준모드(날짜, 시간, 요일) - 날짜, 시간, 요일 변경 가능 (윤년 판단 가능) - 알람 ON인 경우 종 모양이 좌측 하단에 표시됨 - 정시에 멜로디가 울림2. 알람모드 - 알람 시간 설정, On/Off 설정 가능 - 알람이 울리면 불빛과 멜로디가 나옴3. 스..
    리포트 | 35페이지 | 7,000원 | 등록일 2012.04.30 | 수정일 2023.03.10
  • [8051] 디지털시계 LCD [AT89C2051]
    8051 CPU계열인 AT89C2051 CPU를 사용한 디지털 시계입니다.시계표시를 LCD를 사용했으며, CPU를 사용하고 가장 간단한 회로도를 원하시는 분계 제격입니다./* (at89c2051 ... LCD에 쓰는 문자들이 바뀌었다면, LCD_DISP = 1하고, 문자쓰기를 했다면, LCD_DISP = 0 한다.*/ ... , 24Mhz) 16 X 2 Line LCD xx -> x position, glja -> 문자열 ex)"abcd" lcd_write (unsigned char xx , unsigned
    리포트 | 1페이지 | 1,000원 | 등록일 2005.04.19
  • vhdl을 이용한 디지털시계 소스(lcd,led,도트매트릭스,스탑워치,수정기능)포함 완벽
    여러가지 기능을 포함한 디지털시계 소스입니다컴파일 이상없구요맥스 플러스II돌려야합니다~vhdl로 만듬
    리포트 | 50페이지 | 3,500원 | 등록일 2007.12.08
  • [회로설계]VHDL로 구현한 LCD(시계표현) 및 세그먼트(알람시각)를 이용한 디지털 시계
    JDF E// Created by ISE ver 1.0PROJECT lcd_seg_watchDESIGN lcd_seg_watch NormalDEVKIT xcs10-3pc84DEVFAM ... .vhdMODSTYLE lcd_ctl NormalMODULE count10.vhdMODSTYLE count10 NormalMODULE watch_control.vhdMODSTYLE ... watch_termproject_top.vhdMODSTYLE watch_termproject_top NormalMODULE count500.vhdMODSTYLE count500 NormalMODULE lcd_blk1
    리포트 | 1페이지 | 3,500원 | 등록일 2003.12.08
  • [마이크로프로세서] [졸업작품]디지털시계 LCD 멜로디알람 온도표시 [ATMEGA8535L]
    디지털 시계입니다. 16x2 Line LCD에 시간을 표시했으며, 여기에 온도계 표시기능도 넣었기 때문에 보통의 일반 디지털 시계와 차원이 다릅니다.CPU는 AVR 계열의 ATMEGA8535L ... :AVR CPU인 ATMEGA8535L-8 CPU를 사용한 LCD 디지털 시계이며, 알람 출력으로 멜로디 전용 IC를 사용했다. ... 버턴을 누를 때마다 부저 음이 울리게 되어있으며, 이 시계의 특징은 온도 표시 기능이다. 온도 센서를 이용해서 LCD 창에 현재의 온도를 표시 하였다.
    리포트 | 315페이지 | 10,000원 | 등록일 2005.06.23
  • [PIC] [졸업작품]디지털시계LCD 멜로디알람, 온도출력[PIC16F74]
    OPTION &= ~0x20; // 11.0592Mhz / 4 = 2.7648Mhz::처음에 디지털 시계와 알람 기능 정도만 넣으려고 했으나 뭔가 좀 허전한 것 같아서 온도 표시까지 ... 그러면 LCD 창의 왼쪽 위에 ALARM이라고 메시지가 뜨며 그 시간은 알람 시간이 된다.알람시간 수정하려면 , 시간 수정과 같다.알람 세팅이 끝나면, 다시 [알람시간] 버턴을 누르면 ... 된다.그리고 알람을 울릴 것인가, 말것인가를 정하는 것은 [알람기능] 버턴을 누르면 된다.그러면 LCD 창의 오르쪽 위에 ON, OFF를 반복하면서 나타내어 준다.알람출력이 멜로디이며
    리포트 | 174페이지 | 10,000원 | 등록일 2005.05.16
  • [8051] [졸업작품]디지털시계,LCD,멜로디알람,온도표시[AT89C52]
    또한 시간표시를 LCD를 사용해서 세련된 모습을 보입니다.:8051 CPU인 AT89C52 CPU를 사용한 LCD 디지털 시계이며, 알람 출력으로 멜로디 전용 IC를 사용했다. ... :시간을 표시하는 방법으로 타이머1의 모드2 인터럽트를 사용했고, 온도 표시를 위해서 외부에 AD컨버터 ADC0809를 사용했다.디지털시계의 생명은 정확한 시간에 있다. ... 버턴을 누를 때마다 부저 음이 울리게 되어있으며, 이 시계의 특징은 온도 표시 기능이다. 온도 센서를 이용해서 LCD 창에 현재의 온도를 표시 하였다.
    리포트 | 7페이지 | 10,000원 | 등록일 2005.07.02
  • [마이크로프로세서] [졸업작품]DS12887A 이용디지털시계 LCD[AT89C51]
    DS12887A 를 이용해서 디지털 시계를 만드는 내용 입니다. CPU는 AT89C51을 사용했으며 시간 표시로 16x2Line LCD 사용했습니다. ... ::지금까지 디지털 시계를 마이컴을 이용해서 많이 만들어 봤다. ... 위의 정보를 보게 되면 AT89C51에는 4K byte의 내부 Fllash Memory 가 있음을 알 수 있다. 4K byte 정도면, 디지털 시계정도는 충분히 만들 수 있는 공간
    리포트 | 19페이지 | 10,000원 | 등록일 2005.06.10
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 final project Digital Clock 기능7개 전자전기컴퓨터설계실험2
    DIGITAL CLOCK CODE 설명Digital clock의 기능구현을 설명하기 위해 크게 1. 시계작동 2. 알람 3. 타이머 4. 스톱워치 5. ... 시계의 출력데이터를 관리시계의 출력데이터를 관리하기 위해서 [7:0]LCD_CLOCK_DATA [16:0]을 선언해주었다. ... 시계 기능들전자전기컴퓨터설계실험2 마지막 실험은 COMBO BOX에 DIGITAL CLOCK을 구현하는 것이다.
    리포트 | 52페이지 | 6,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • (10가지 기능, 코드 전체 포함, 직접 작성한 코드, 확장성 좋은 코드)서울시립대학교 전전설2 10주차(Final) 예비레포트(코딩 매우 성공적, A+, 10점 만점 11점, 디지털 시계)
    Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 디지털 시계를 설계한다. ... 수행 과제(1) Lab 2Text LCD를 이용하여 Digital Clock을 구현하시오.필수 기능 : 시/분/초 표현과 시간 조정 기능을 포함부가 기능 : 자유롭게 추가할 것 (예 ... 시계의 필수 기본 동작을 포함하고, 다양한 선택 동작을 설계 및 구현한다.디스플레이 동작의 다양성을 설계 및 구현한다.2.
    리포트 | 33페이지 | 3,700원 | 등록일 2020.07.22 | 수정일 2020.12.07
  • 마이크로 프로세서 ATmega128을 이용한 시계 구현
    기능hour1 = hour/10;//시계 초 10자리hour2 = hour%10;//시계 초 1자리min1 = min/10;//시계 분 10자리min2 = min%10;//시계 분 ... 1자리sec1 = sec/10;//시계 시 10자리sec2 = sec%10;//시계 시 1자리thour1 = thour/10;//스탑워치 시 10자리thour2 = thour%10 ... (thour2+'0');LCD_char('h');LCD_char(tmin1+'0');LCD_char(tmin2+'0');LCD_char('m');LCD_char(tsec1+'0');
    리포트 | 13페이지 | 3,500원 | 등록일 2020.12.25 | 수정일 2022.10.10
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    디지털 시계는 [표 1]의 필수 동작과 선택 동작을 구현해야 하며, 실제 장치에 연결하여 소스코드의 내용과 디지털 시계의 동작 일치 여부를 확인한다.1)Text LCD를 사용2) ... 처음부터 각각 리셋, 메인클럭 1㎒, LCD 인에이블, LCD 레지스터 선택, LCD 데이터, 버튼 스위치 1 ~ 16, 피에조, LED와 연결된다.또한, 디지털 시계의 작동을 위해 ... [사진 1] 변수 선언 (소스코드 일부)디지털 시계 소스코드의 모듈명은 Final_3이고, 입력으로는 RESETN(리셋), CLK_1MHZ, 출력으로는 LCD_E, LCD_RS, LCD_RW
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 디지털제품과 일반 아날로그제품을 선택해서 신제품 개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오
    디지탈 손목시계는 시간을 표시하는 부분에 발광다이오드나 액정을 사용한다. ... 디지털과 아날로그디지털(digital) 사전은 손가락을 나타내는 라틴어 숫자(digit)에서 유래했다는 뜻이다. ... 또 LCD는 초수를 표시할 수 있지만 어두운 곳에서는 볼 수 없는 한계가 있다.2.
    리포트 | 7페이지 | 2,500원 | 등록일 2023.04.26
  • 전전설2 파이널 프로젝트 디지털시계 (전자전기컴퓨터설계2 실험 파이널 프로젝트)
    디지털 시계 코드`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////// ... ///// Company:// Engineer://// Create Date: 14:08:09 11/22/2016// Design Name:// Module Name: Clock_Digital ... Comments:////////////////////////////////////////////////////////////////////////////////////module Clock_Digital
    리포트 | 73페이지 | 5,000원 | 등록일 2019.11.09
  • 디지털제품과 일반 아날로그제품을 선택해서 신제품 개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오(A+)
    디지털과 아날로그디지털(digital)의 사전적인 뜻은 손가락을 뜻하는 라틴어 디지트(digit)에서 유래된 말이다. ... 한편 LCD는 초의 표시는 할 수 있으나, 어두운 곳에서는 보이지 않는다는 한계가 있다.2. ... 아날로그 시계들은 내부에 태엽과 기어로 시계를 돌리고 시간을 맞추는데 반면, 디지털 시계는 저절로 숫자가 뜬다.
    리포트 | 7페이지 | 2,000원 | 등록일 2022.10.02
  • 서울시립대학교(시립대) 전자전기컴퓨터설계실험2(전전설2) 파이널 프로젝트(Final Project)
    .● 두 번째 기능으로 설정한 시간을 적용한 디지털 시계를 만든다.● 세 번째 기능으로 스톱워치 기능을 만든다.● 네 번째 기능으로 알람 기능을 만든다.● 다섯 번째 기능으로 세계시간 ... 코드 설명module project (rst, clk, dipsw, button, lcd_e, lcd_rs, lcd_rw, piezo, lcd_data);input rst, clk; ... input [7:0] dipsw;input [10:0] button;output lcd_e, lcd_rs, lcd_rw, piezo;output [7:0] lcd_data;wire
    리포트 | 78페이지 | 1,500원 | 등록일 2023.11.12 | 수정일 2023.11.24
  • 메타버스의 핵심기술 - happycampus
    메타버스의 핵심 기술센서 감각 기관에 해당하며 가장 중요한 입력 기술 오감 – 시각 , 청각 , 촉각 , 미각 , 후각 등을 디지털 세상에 전기적으로 감지해 비트로 바꿔줄 수 있는 ... .광학과 디스플레이 개인에게 최적화된 광학 구조 디자인이 가장 큰 도전 분야 시야각 ( Fov:Field of View) 아이박스의 크기 화질 밝기 초점의 심도 디스플레이 OED LCD공간음향 ... 가치 불편함을 넘어 습관이 되거나 대체 불가능한 본연의 기능성 안경을 써야만 앞을 볼 수 있다 애플워치 , 아이폰과 연동 등 2 번째 가치 보는 것이 아닌 나를 보여 주는 것 현재 시계
    리포트 | 8페이지 | 5,000원 | 등록일 2021.12.29
  • [졸업작품보고서] UV-C 살균기 제작 / 제어계측공학전공
    이 때 출력되는 신호의 패턴을 확인하면 노브가 시계방향으로 돌았는지 반시계방향으로 돌았는지 알 수 있다.(4) LCD Display액정 디스플레이(Display) 또는 액정 표시장치 ... 이 릴레이는 아두이노의 디지털 신호를 받아 UV LED Lamp의 점등과 소등을 제어하는 스위치 역할을 하게 된다. ... 로터리 인코더를 반시계방향으로 2바퀴 회전시키면 타이머 모드와 인터벌 모드의 전환이 일어난다. 은 타이머 모드 은 인터벌 모드일 때의 화면 표시이다.
    리포트 | 10페이지 | 7,000원 | 등록일 2022.04.14
  • 인코더와 디코더 회로 예비 보고서(고찰포함)A+
    회로는 LED 또는 LCD같은 디스플레이 장치를 사용하는 출력을 나타내게 되는데, 시계나 전자 계산기 등이 좋은 예이다. 7-세그먼트는 디지털 신호에 의해 발광하는 LED가 7개의 ... 기호나 숫자를 여러 가지 기호나 알파벳 문자를 코드 화하기도 하고 기호나 숫자를 코드화 하는 것을 인코딩이라 한다.7-세그먼트 표시기(seven segment indicator)디지털
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 인코더와 디코더 회로 예비
    회로는 LED(Light Emitting Diode) 또는 LCD(Liquid Crystal Display)와 같은 디스플레이 장치를 사용하는 출력을 나타내게 되는데, 시계나 전자 ... 계산기 등이 좋은 예이다. 7-세그먼트는 디지털 신호에 의해 발광하는 LED가 7개의 세그먼트(선분)에 사용되는 디스플레이로 숫자를 표시 할 수 있다. ... decoder 회로의 반대 기능을 지닌 회로로서 2n개 또는 이보다 적은 개수의 입력신호로부터 n개의 출력신호를 만든다.7-세그먼트 표시기(Seven Segment Indicator)디지털
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.21
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:45 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대