• 통큰쿠폰이벤트-통합
  • 통합검색(1,469)
  • 리포트(1,311)
  • 자기소개서(58)
  • 시험자료(56)
  • 이력서(16)
  • 논문(13)
  • 방송통신대(13)
  • 서식(1)
  • ppt테마(1)

"모듈형 UPS" 검색결과 1-20 / 1,469건

  • Development of Evaluation Modules for Evaluating Decommissioning Scenarious Using Digital Mock-Up System
    한국방사성폐기물학회 Sung Kyun Kim, Hee Sung Park, Kune-Woo Lee, Chong-Hun Jung
    논문 | 9페이지 | 4,000원 | 등록일 2023.04.05
  • 공동주택 태양광 발전설비 설치
    모니터링 서버를 통해 관리할 수 잇는 시스템으로써 기상현황, 발전현황, 보고서출력기능, 주요장비의 상태관리 및 알람 등의 기능이 있다.모니터링 시스템은 서버, 모니터, 프린터, 무정전전원장치 ... (UPS), 소프트웨어 등으로 구성된다.4. ... 2가지로분류할 수 있다.1) 독립형독립전원형은 전력 공급이 어려운 산간벽지, 도서지역 또는 상시전력을 박을 수 없는 곳에적용되며 전력회사의 전력공급 없이 태양광발전에 의한 독립된 전원
    리포트 | 7페이지 | 1,500원 | 등록일 2020.01.23
  • 우진기전 업체 검토
    인한 영향이 미치는 것을 원천 방지하는 제품- 무정전 전원장치 UPS (Uninterruptible Power Supply). ... 중요설비 부하에 전원공급이 중단되거나, 전압 변동, 주파수변동등의 장애가 발생하여 안정적으로 전원공급이 불가능 하더라도 안정적으로 사용할 수 있도록 전원을 공급해주는 장치- 무정전 ... AVC(전압강하 보상장치), UPS(無정전 전원장치)를 이용한 전력품질 솔루션. 에너지 손실 최소화를 통해 효율성을 높일 수 있는 전력시스템 솔루션.
    리포트 | 6페이지 | 1,500원 | 등록일 2023.09.06
  • 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    Dotmatrix 모듈 내부에 address 신호 A(5:0)를 출력하는 up_rolling 모듈과 flash_twice 모듈을 만들어 기능을 분리했다.up_rolling 모듈의 입-출력그림 ... (a),(b)up_rolling 모듈부터 살펴보자. up-scrolling 기능은 adder를 통해 구현할 수 있었다. ... ROM에 입력되는 address 신호는 그림(f)에서처럼 up_enable을 select 신호로 하여, up_rolling 모듈 또는 flash_twice 모듈의 출력으로 선택된다.그림
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • 시립대 전전설2 A+ 8주차 예비레포트
    실험 목적Verilog HDL 언어를 사용하여 주변장치(peripherals) 제어를 실험함.주변 장치들인 7-segment, Piezo 등의 controller 설계Behavioral ... array에 -128 ~ 127로 표현하는 모듈을 디자인 하시오. ... 표시하시오.입력:Count Clock -> 1초에 1씩 up counting 또는 down counting비동기 Reset (Button SW0): Count 값을 0으로 초기화up
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • [서울시립대] A+ 전자전기컴퓨터설계2(mealy,moore,코드포함) 7주차예비레포트
    => verilog text(처음에 오류가 있는 MODULE에서 베릴로그 텍스트를 추가하고 나서 그후에 MODULE을 수정하닌깐 베릴로그 텍스쳐에선 그 수정이 제대로 반영이 안돼서 ... 설계하시오.Input : Clock, Reset, Up, Load, Load EnableOutput : Count OutClock이 Rising Edge일 때 Up이 1이면 Count ... /Down: External DIP Switch 1 (High→Up / Low→Down)Output : LED 1~8 (Modulo 256)Up: 0 → 1 → 2 → … → 254
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 논리회로설계실험 10주차 up down counter설계
    Mealy machine으로 설계한 module은 OUT이 현재 State와 MODE 둘의 조합에 따라 결정되므로 320ns에서 바로 up counter의 동작이 OUT으로 나타나는 ... Moore machine으로 설계한 module은 OUT이 현재의 State에만 의존하므로 바로 바뀌지 않고 Clock의 Positive edge에서 OUT이 바뀌는 모습을 관찰할 ... instantiation 부분에서는 구현한 두가지의 Moore counter, Mealy counter의 module을 선언하였다.RESET과 MODE의 초기값을 지정하는 부분에서는
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.11
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 결과레포트
    모듈 코드에서 if(reset==1) count=4’b0000; 코드를 always문 밖에 작성하면 비동기 초기화를 할 수 있을 것으로 생각된다. ... FPGA Board를 이용한 FSM회로의 구현 (up-counter)결과레포트1. 실험 제목1) FPGA Board를 이용한 FSM회로의 구현 (up-counter)2. ... 또 배열 형태로 되어있는 레지스터의 출력을 관찰할 때 10진수 형식으로 관찰하여 카운터의 동작을 쉽게 확인할 수 있었다.모듈 코드를 작성할 때에 reset을 비동기 입력이 아닌 동기
    리포트 | 2페이지 | 1,000원 | 등록일 2022.11.06
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    counter 로직으로, up/down mode, reset, data load의 기능을 포함하며, [실습 5]에서 작성한 코드를 module instantiation으로 사용해 ... Behavioral level 모델링, Module instantiation을 이용한 Structural modeling 방법 등을 사용하여 4-bit up counter, Piezo ... 실험의 목적Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다.
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    box실험결과(2) 실습2설계 조건Design counter with Piezo 동작 검사1.TOP module 설정2. ... 실험 결과(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. up counter 설계2. static 7 segment를 모듈화해서 사용codeCombo ... 토의실험(1) 4-bit up counter의 출력 값을 single FND에 표시1) upcounter 설계 2) static 7 segment를 모듈화해서 사용실험1은 4bit
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 서강대학교 21년도 디지털회로설계 - 엘리베이터 설계 프로젝트 보고서(A+자료)
    모듈, 버튼이 눌렸을 때 해당 버튼의 플립플랍에 값을 저장하는 모듈, 현재 층수 및 동작상황과 눌려져 있는 버튼에 따라 df를 연산하는 모듈, df에 따라서 state가 바뀌면서 ... ‘1’일때) elev(현재level보다 높은) -> up(현재level보다 높은) -> dn(높은 층부터) -> 현재level보다 낮은 elev와 up버튼 순으로 우선순위가 정해진다 ... 낮은) -> up(낮은 층부터) -> 현재level보다 높은 버튼의 우선순위대로, df가 결정된다.현재 state가 B이고(올라가는 중) elev_n에 의해 올라가는 중이라면, up_k가
    리포트 | 10페이지 | 2,000원 | 등록일 2021.06.30 | 수정일 2022.09.23
  • 서울시립대 전전설2 Lab-08 예비리포트 (2020 최신)
    이 과정은 계속 module instantiation을 이용하는 방식이기에 이전에 만든 모듈이 정상적으로 작동해야 그 후의 모듈도 정상 작동할 수 있다. ... 이 때 behavioral modeling, module instantiation을 이용한 structural modeling 방식으로 모듈을 만든다.2. ... 디자인하시오.- 실습 3의 모듈module instantiation으로 이용[실습 5] 8-bit 2’s complement signed binary 입력을 받아 decimal로
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.10
  • 서강대학교 고급전자회로실험 9주차 예비/결과레포트 (A+자료)
    Modulation (demodulation)분반수학번이름조6학번이름시작종료실험시작/종료시간 기재(통계 목적임)1. ... window,nfft)는 이산 푸리에 변환(DFT)에 포함된 nfft개 점을 사용한다. nfft가 신호 길이보다 크면 x가 길이 nfft까지 0으로 채워지고, 신호길이보다 작으면, 신호가 모듈로 ... 따라서 USB만을 추출해낼 수 있다.right성분의 USB를 up-conversion 후 real로 만든 모습USB_R_up = USB_R.
    리포트 | 21페이지 | 1,000원 | 등록일 2024.09.02
  • 서울시립대학교 전전설2 8주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    (이 module은 숫자의 입력에 ent signed binary 입력을 받아서 이를 decimal 로 변환하여 FND array에-128 ~ 127 로 표현 하는 모듈을 디자인 하시오 ... Piezo, single FND, FND array 라는 장치를 다루어 보았습니다.새로운 장치를 접하였지만, 이전의 실습에서 연습한 기본 문법을 활용하면 장치의 조작이 가능하다는 것을 ... Lab 30000 ~ 1001, 즉 0 ~ 9 까지 값을 가지는 4-bit bcd 입력을 받아서 single FND 를 제어하는 8-bit 신호 (‘점‘을 포함) out 을 출력하는 모듈
    리포트 | 30페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.25
  • 인공지능 LSTM 발표자료
    Long Short-Term Memory RNN 의 단점 개선 RNN 반복 모듈 LSTM 반복 모듈 LSTM 구조 LSTM Cell 의 내부 구조 Forget Gate - 과거 정보를 ... I grew up in Canada. … My favorite food is Maratang … I speak fluent ??? ... 201*** 이 ** Index LSTM 의 등장 배경 LSTM 의 구조 LSTM 의 학습 LSTM 의 변형 형태 LSTM 등장 배경 RNN 의 문제점 인식 RNN 사용 I grew up
    리포트 | 20페이지 | 2,500원 | 등록일 2022.04.23
  • 종합 자동차 용어 및 LAMP 종류
    Control Unit"엔진제어장치, 엔진정보를 계산하여, 최적 작동조건으로 조절하는 엔진용 컴퓨터 제어장치"EMSEngine Management System엔진 제어 모듈공용EOEngineering ... 최적의 변속단이 자동으로 변속되는 변속기"AAFActive Air Flap액티브 에어 플랩르노ABDLCPAgreement to Build Digital Lot CF PFLIT M/UP ... 3차르노ABDLCYAgreement to Build Digital Lot CyLIT M/UP 2차르노ABDLSYAgreement to Build Digital Lot SyLIT M
    리포트 | 2페이지 | 5,000원 | 등록일 2022.03.17
  • 연세대학교 기초디지털실험 1주차 결과레포트
    Instance, which is made up of name, variable, parameter and ports, is defined by module. ... HDL, Hardware Description Language, helps implementing circuit schematics and designating modules’ behavior.Modules
    리포트 | 13페이지 | 5,000원 | 등록일 2021.08.18 | 수정일 2023.01.07
  • 서강대학교 고급전자회로실험 9주차 결과보고서
    s_DSB(t)의 스펙트럼을 그리고, 간단히 분석하시오.- x(t)에 특정 주파수를 갖는 cos 함수를 곱함으로써 modulation이 가능하다. fc가 2.5kHz인 신호로 modulation ... *exp(1i*2*pi*fc*t); %fc로 up-conversion 실험1.1s_minus=z_lsb. ... Modulation (demodulation)분반학번이름시작종료실험시작/종료시간 기재(통계 목적임)- 예비보고서는 실험 1 및 설계 과제를 진행하기 위하여 실험 방법(procedure
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.02
  • 창의적공학설계 중간과제
    It is useful to wash and set dirty dishes right there.Idea[3]Base module (top view)Cover module (side ... It is used by restaurant employees who clean up tables. What is it good for?
    리포트 | 5페이지 | 2,000원 | 등록일 2023.05.31 | 수정일 2023.10.23
  • 시립대 전전설2 A+ 6주차 예비레포트
    CounterActive-low 비동기(asynchronous) 리셋을 가지는 8비트 up-counter의 모듈 설계11) Sequential Logic Modeling순차회로 디자인 ... 즉, 정보는 전원이 있을 때만 보관, 유지되며 전원이 차단되면 정보는 사라진다.2) S-R Latch2개의 NOR 게이트로 구성된 래치3) S-R Flip-FlopS-R 래치에 클럭을 ... Sequential Logic을 설계 및 실험.Flip-Flop, Register, SIPO, counter 등 다양한 순차회로를 설계Behavioral level modeling, Module
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:47 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대