• 통큰쿠폰이벤트-통합
  • 통합검색(540)
  • 리포트(506)
  • 시험자료(17)
  • 자기소개서(10)
  • 논문(5)
  • 방송통신대(2)

"비동기 카운터 설계" 검색결과 1-20 / 540건

  • 비동기 카운터, 동기 카운터 설계 예비레포트
    비동기 카운터, 동기 카운터 설계예비레포트1. 실험 제목1) 비동기 카운터2) 동기 카운터 설계2. ... 실험 목적1) 비동기 카운터- 비동기 업-카운터와 다운-카운터설계 및 분석- 카운터의 모듈러스(modulus) 변환- IC 카운터 사용과 카운트 시퀀스 절단(truncation) ... 관련 이론1) 비동기 카운터디지털 카운터는 클럭되는 방법에 따라 동기비동기로 구분된다. 동기 카운터는 일렬의 플립-플롭들이 동시에 클럭되도록 구성되어 있아.
    리포트 | 9페이지 | 1,000원 | 등록일 2022.10.09
  • 비동기 카운터, 동기 카운터 설계 결과레포트
    비동기 카운터, 동기 카운터 설계결과레포트1. 실험 제목1) 비동기 카운터2) 동기 카운터 설계2. ... 실험 결과1) 비동기 카운터(1) QA vs QB(2) QA vs QC(3) QA vs QD- 결과를 바탕으로 완성된 그래프2) 동기 카운터 설계(1) QA vs QB(2) QA vs ... 고찰1) 비동기 카운터이번 실험에서는 J-K 플립-플롭 4개를 이용하여 4진 비동기 카운터 회로를 구성하였다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.10.09
  • 16진 동기비동기 카운터 설계
    설계 과정비동기동기카운터의 구조와 동작원리를 이해하여 16진 동기비동기 카운터설계한다.1) SN7400 및 SN7476, SN7490의 회로도를 구현한다.2) TTL ... 설계 과정 및 시뮬레이션- 16진 비동기식 Up 카운터4개의 JK 플립플롭을 이용하여 16진 비동기식 Up 카운터를 구성하였습니다. ... 동기카운터이므로, 위의비동기카운터 설계와 달리 모든 플립플롭에 클럭을 인가했다는 것 또한 확인할 수 있습니다. 이를 표로 나타내어보
    리포트 | 3페이지 | 1,500원 | 등록일 2020.10.10
  • (기초회로 및 디지털실험) 16진 동기비동기 카운터 설계
    이해하여 16진 동기비동기 카운터설계한다. ... 구분실 험 제 목설계316진 동기비동기 카운터실험 조건TTL IC(SN7400, SN7476, SN7490)를 이용하여 구현한다.설계 과정비동기동기카운터의 구조와 동작원리를 ... 실험 결과를 바탕으로 결과 보고서를 작성한다.이번 보고서는 3가지의 TTL IC 소자를 이용해서 16진 동기비동기 카운터설계하는 것으로 동기카운터비동기카운터와는
    리포트 | 7페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • [부산대 어드벤처 디자인] 11장 비동기동기카운터설계 예비보고서
    실험목적비동기식의 Count-Up 계수기, Count-Down 계수기, 십진계수기 (decade counter) 등의 동작원리 를 이해한다. ... 동기식 Count-Up 계수기와 Count-Down 계수기를 구성한다 (2) 동기식 Up/Down 계수기를 구성한다 (3) 동기식 십진계수기를 구성한다2. ... 동기식 Count-Up 계수기, Count Down 계수기, 리플 캐리 계수기, BCD 계수기, Modulus N 계수기 등의 동작원리를 이해하고 각각의 동작특성을 확인한다 (1)
    리포트 | 5페이지 | 2,000원 | 등록일 2024.03.15 | 수정일 2024.04.15
  • [부산대학교][전기공학과][어드벤처디자인] 10장 Flip-flop 및 Shift register & 11장 비동기동기 카운터설계(10주차 예비보고서) A+
    어드벤처디자인 예비보고서10장) Flip-flop 및 Shift register11장) 비동기동기 카운터설계학과: 전기공학과학번:이름:SR, D, JK 및 T 플립플롭에 대한 ... 비동기식 계수기보다 복잡하지만 동기식 계수기보다는 간단한 회로를 만들 수 있고, 전송지연도 동기식 계수기보다 길지만 비동기식 계수기보다 짧아진다. ... 다른 방법으로는 순차적 방법이 있다.동기식 계수기와 비동기식 계수기를 비교하여 장단점을 논하고 리플 캐리 계수기의 특징을 설명하시오.동기식 계수기모든 플립플롭이 동일한 클럭 펄스에
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.25 | 수정일 2021.04.27
  • 비동기동기카운터 설계 9조
    동기식ㆍ비동기카운터의 구조와 동작원리를 이해한다.동기식ㆍ비동기카운터 회로를 구성하고 실험으로 확인한다. 순차회로는 동기식 순차회로와 비동기식 순차회로로 구분할 수 있다. ... 비동기식 순차회로는 플립플롭들이 서로 다른 클럭을 사용하는 형태로 구성된 회로를 말한다. ... 동기식 순차회로는 회로 구성에 사용된 모든 플립플롭들이 하나의 공통 클럭을 동시에 공급 받도록 구성된 회로를 말한다.
    리포트 | 19페이지 | 1,000원 | 등록일 2014.12.01 | 수정일 2016.10.19
  • 동기비동기 카운터회로 설계보고서
    설계 제목 - 동기비동기 카운터회로 설계 ? ... 설계 목표비동기식, 동기카운터설계 과정 및 동작을 이해한다.배경이론⊙ 계수 회로 : 시간 펄스의 수를 세거나 제어 장치에서 각종 회로의 동작을 ? ... 동기형 16진 계수기의 파형도◈ 논리 회로도① 비동기 계수기 회로도비동기식 계수기는 앞서 이론에서 설명한 바와 같이 연속된 플립플롭 회로에서 앞에 있는 것의 출력이 다음 것의 입력으로
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 비동기 카운터 설계
    5월 6일 실험 비동기 카운터 설계1. ... 비동기 카운터① 4bit 카운터 HDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity
    리포트 | 4페이지 | 1,000원 | 등록일 2009.12.06
  • 동기비동기 카운터회로 설계결과보고서
    그중에 플립플롭의 구동방식에 따라 비동기식 계수기와 동기식 계수기로 나뉘는데 이 두가지가 이번 실계의 핵심 포인트였다.직렬 카운터와 병렬 카운터라고도 하는 이들은 각각, 동기식 계수기는 ... 동작원리를 이해하여 16진 동기 계수기, 비동기 계수기를 설계하는 것이었다.회로가 비교적 간단하고 어렵지 않은 설계였기 때문에 비교적 쉽게 결과값을 구할수 있었다.우선 비동기 계수기부터 ... 설계 실험결과비동기 계수기 회로도실험 결과사진이 너무 많은 관계로 1~6까지 LED출력만 올렸습니다.결과 분석우선 첫 번째 설계비동기 계수기를 만드는 것으로 앞에 있는 것의 출력이
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 논리회로설계실험 비동기 카운터 설계
    비동기 카운터 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt_4 isport
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • [Flowrian] 동기/비동기 카운터 회로의 Verilog 설계 및 시뮬레이션 검증
    비동기 카운터 회로의 Verilog 설계 및 검증2. Behavior 형식 동기 카운터 회로의 Verilog 설계 및 검증3. ... Structure 형식 동기 카운터 회로의 Verilog 설계 및 검증 ... 동기/비동기 카운터 회로의 동작은 Verilog 언어가 제공하는 두가지 방식, Behavior와 Structure 관점에서 전가산기의 논리동작을 모델링한다.Verilog 언어를 이용하여
    리포트 | 14페이지 | 1,000원 | 등록일 2011.12.08
  • 디지털실험 - 동기비동기 카운터 회로 설계 결과레포트
    카운터에서는 모든 플립플롭에 클럭 신호가 병렬로 동시에 인가되기 때문에 계수속도가 빠른 장점이 있으나 비동기카운터에 비하여 설계하기 복잡하다는 단점이 있다.이리하여 동기식 계수기를 ... ◈설계 5차-설계결과-2조 2008065321권태영1. 설계 과정◈ 논리 회로도① 비동기 계수기 회로도② 동기 계수기 회로도2. 시뮬레이션 결과① 비동기 계수기② 동기 계수기3. ... 그리하여 비동기 계수기부터 설계하였는데우선 그에 대한 이론을 살펴보았으므로 원리 자체를 이해 하는데는 무리가 없었다.이를 이해하려면 우선 카운터의 개념부터 알고 있어야 하므로 간단히
    리포트 | 6페이지 | 1,000원 | 등록일 2012.03.09
  • 비동기식 10진 카운터 설계 결과 보고서(MOD-10 Counter)
    펄스 발생 IC인 NE555를 이용하여 Clock Pulse를 주어, 10진 카운터가 출력되는 비동기식 10진 카운터(MOD-10) 설계를 한다.설계 순서Ⅲ1. ... 설계 결과 보고서비동기식 10진 카운터 설계(Mod-10 Counter)Team:Subject:Professor:Major:Student Number& Name::Due date:목 ... MOD-10) 설계.설계 목적Ⅱ- JK Flip-Flop, FND507, 7447, NE555, NAND Gate를 이용하여 비동기식(MOD -10) 카운터회로를 구성한 후, 구형파
    리포트 | 15페이지 | 2,000원 | 등록일 2012.03.31
  • [디지털 논리설계 실험]비동기식/동기카운터
    변하도록 한다.4.트리거 방식에 따라 카운더는 비동기식과 동기식으로 나뉨5.비동기카운터는 직렬카운터 또는 리플 카운터라 불린다6.앞에있는 플립플롭의 출력이 뒤에있는 플립플롭을 ... 16진 카운터(4비트 올려세기)동기카운터비동기카운터와 달리 클럭이 동시 들어간다. ... ★동기식과 비동기카운터의 차이★동기식 : 회로가 좀 더 복잡하지만 Time delay가 발생하지 않는다비동기식 : 회로는 간단하지만 Time delay가 발생한다.즉, 비동기식/
    리포트 | 12페이지 | 1,000원 | 등록일 2006.04.25
  • [디지털 논리설계 실험]비동기식/동기카운터
    Title : 비동기식/동기카운터Object : 비동기식/동기카운터의 구성을 이해하고 동작을 확인한다.★ 목차 ★1. 카운터의 정의2. ... 비동기카운터의 특징 및 simulation3. 동기카운터의 특징 및 simulation4. Summary1. Counter란? ... Summary비동기카운터동기카운터차이점- 공통된 신호 없이 플립플롭이 서로 직렬로 연결되어 앞 단계의 플립플롭 출력이 다음 단계의 플립플롭을 구동하는 장치
    리포트 | 10페이지 | 1,000원 | 등록일 2006.04.25
  • [디지털 논리회로 설계] 비동기식 / 동기카운터
    실험목적 :* 비동기식으로 리플카운터형태의 이진카운터를 구성하고 최대 동작 주파수 등의 회로 특성을 측정한다.* 동기식 계수기 2종류(이진, ÷계수기)를 설계하고 이의 동작을 확인한다 ... 동기식 순차회로와 비동기식 순차회로의 예를 들기 위해 그림 9-1에 동기카운터라고 불리는 회로와 비동기카운터라고 불리는 회로를 나타내었다. ... 이와 같이 비동기식 회로는 플립플롭들이 서로 다른 2개 이상의 신호에 의해 클럭단자가 구동되는 회로를 말한다.(a) 동기카운터(b) 비동기카운터그림 9-1.
    리포트 | 8페이지 | 1,000원 | 등록일 2005.05.21
  • 10월20일 실험 18, 21 단안정 및 비 안정 멀티바이브레이터, 동기 카운터 설계 예비보고서
    설계 및 실험, 임의 시퀀스의 16-상태 동기 카운터 설계, 카운터의 구성 및 검사 그리고 카운터의 상태 다이어그램 작성에 대한 능력을 습득하고 알아본다.1. ... 실험 18, 21 단안정 및 비 안정 멀티바이브레이터, 동기카운터 설계 예비보고서날 짜 : 2009. 10. 20 6조 학 번 : A888064 이 름 : 전려리요 약특정 펄스와 트리거 ... 응용으로는 매우 정확한 시간지연 발생, 펄스발생, 분실펄스감지, 그리고 전압제어 발진 등이 있다.동기 카운터 설계에 있어서 가장 먼저 해야 할 일은 계수 시퀀스를 나타내는 상태 다이어그램을
    리포트 | 3페이지 | 1,500원 | 등록일 2011.04.14
  • 아날로그 및 디지털회로설계실습 11 카운터 설계 예비 리포트
    카운터 설계요약: 이번 보고서를 통해 카운터에 대해 학습했다. 74HC73 JK Flip flop을 이용해 4진 비동기 카운터, 8진 비동기 카운터, 10진 비동기 카운터, 16진 ... 카운터 설계8진 비동기 카운터의 회로도를 그린다. ... 학습했다. 74HC73 JK Flip flop을 이용해 4진 비동기 카운터, 8진 비동기 카운터, 10진 비동기 카운터, 16진 동기 카운터 회로도를 설계했다. 4진 비동기 카운터
    리포트 | 6페이지 | 1,000원 | 등록일 2021.09.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:48 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대