• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(268)
  • 리포트(260)
  • 논문(4)
  • 자기소개서(2)
  • 시험자료(2)

"스텝(STEP)모터" 검색결과 1-20 / 268건

  • 스텝모터(Step Motor) 응용사례 및 특성 조사
    스테핑(stepping) 모터는 다른 명칭으로 스텝 모터(step motor), 펄스 모터(pulse motor), 스테퍼 모터(stepper motor) 등으로 불리 운다. ... 스테핑(Stepping) 모터란? ... 개 요 스텝 모터는 1920년 영국에서 개발된 고정밀도의 위치결정이 가능한 Motor로써 스테핑이란 명칭이 사용 것은 1923년 스코틀랜드의 프렌치가 발명한 VR 형 스테핑
    리포트 | 6페이지 | 3,000원 | 등록일 2012.05.30
  • [자동제어] Stepping motor (스텝모터) 관련 정리 자료
    Stepping motor란?Stepping motor는 입력 펄스에 대응하여 일정 각도씩 step상에 회전한다. ... 이와 같이 A,B,C의 여자를 반복함에 의해 일전 step(기본 step)씩 회전한다㉡ 영구자석형 stepping motor이 타입은 PM형 stepping motor라고도 불리며, ... 이 때문에 펄스 모터 혹은 스텝 모터라고도 불리며, 위치 결정 제어용에 사용되고 있다.
    리포트 | 11페이지 | 1,000원 | 등록일 2009.02.08
  • Step Motor
    스텝 모터는 그 내부를 구성하는 고정자라고 불리우는 극의 수에 따라 종류가 결정되며, 기본적으로 이 극의 수에 따라 motorstep각 등의 기본 특성이 달라진다 (ex : 4상 ... 실험이론3.1 Step Motor스텝 모터는 디지털 펄스에 의하여 미리 지정된 스텝만큼만 정확히 회전하는 모터이다. ... 하이브리드형은 미세 스텝각을 구현하는데 유리한 구조를 가지고 있어서 오늘날의 스텝 모터는 대부분 이 방식으로 되어있다.3.2 Step Motor의 구동방법- 하이브리드형 기준으로 모터
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.07
  • 아주대학교 자동제어실험 5번 실험 / 스텝 모터 및 RC 서보모터 / 예비보고서
    인한 파손이 없기 때문에, 보수가 필요없다.스텝 모터스텝 모터의 특징스텝 모터란 쉽게 말하면 step에 의해 구동되는 모터를 의미한다. ... 실험 이론 및 방법스텝모터 (Step Motor)1회전 각도는 입력 펄스 신호수에 비례하여 정해진다.2회전속도는 입력 펄스 레이트(펄스 주파수)에 비례한다.3회전자에 영구 자석을 사용하면 ... 회전 step 당 각도를 설정하고, 이에 따른 Pulse를 기준으로 제어된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 광전자공학 2주차 보고서, 버튼과 스텝모터를 사용한 아두이노 스케치
    배우기 블로그를 참고하여, 스위치를 눌렀을 때 회전 후에 다시 제자리로 돌아오는 모터를 스케치하였다.int STEP = 3;int DIR = 2;int Switch=4;void setup ... 그리고 Photoresistor(오른쪽) 회로도는 저항이 접지(Ground) 쪽에 있는 Pull-down 방식을 사용하였다.버튼과 스텝모터를 사용한 아두이노 스케치유튜브와 아두이노 ... (STEP, LOW);}void loop() {if(digitalRead(Switch)==HIGH) {for(int x=0; x
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.08
  • 모터제어 기말고사 요약본
    모터를 회전시킬 수 있다.1상은 구동시 stepping모터의 진동이 적다.2상은 구동시 stepping 모터의 진동이 크다.1-2상 구동시 분해능은 1상, 2상에 비해 2배 증가한다.Linear ... 모터가 외부 전류가 일정시간 흘러 한 단계(step)씩 움직이는 이유는 고정자 영구자석이 서로 다른 극을 가진 두 개의 큰 톱니바퀴형 구조로 되어 있기 때문이다.Stepping 모터의 ... 회전각이 입력 pulse 수 비례 -> 위치 제어 아주 우수모터의속도는1초간의입력pulse 수(입력pulse 주파수) 에비례1 step 당각동오차가5% 이내이며회전각오차가step마다누적되지않음정지시에높은유지토크로위치를유지가능
    리포트 | 13페이지 | 1,000원 | 등록일 2022.06.05
  • 아주대학교 자동제어실험 5번 실험 / 스텝 모터 및 RC 서보모터 / 과제보고서
    비율이 3:1 이라는 뜻이므로 아래와 같이 나타낼 수 있을 것이다.과제 출력 예시수기로 작성해본 75% Duty cycle Pulse다음은 Half step과 Full step에 관한 ... Half step에서 펄스에 따른 각은 full step에서의 펄스에 따른 각의 반이다.RC 서보모터를 이용한 연결 방법을 bread board에 그리시오.아래와 같이 연결하면 가능하다 ... Operation본 실험에서 사용하는 L297 IC는 스텝 모터 컨트롤러이다. 2상 Bipolar, 4상 unipolar 스텝 모터에 대하여 4상 구동 신호를 생성할 수 있고, 위상은
    리포트 | 3페이지 | 1,000원 | 등록일 2022.03.06
  • 진동및메카트로닉스 스텝모터및엔코더 실험 보고서
    반면 아래 그림상의 Z상은 한 회전당 한번의 펄스를 생성하여 회전수를 측정할 때 사용한다.실험2) 스텝모터(STEP-MOTOR)DC 모터와 달리 스텝모터는 전자석의 기능을 이용하여 ... 다음단계인 3번 STEP은 CCW의 4번 STEP의 이전 단계인 3번 STEP과 동일하다.스텝모터를 보면 시계바늘이 움직이는 것과 비슷해 보인다. ... 수를 세어서, 설정해준 step수를 비교한다.4) step수를 십진수 6 (이진수;0110)으로 설정하고, 각 step에서 켜져 있는 LED를 다음 표에 표시(step 입력 스위치
    리포트 | 14페이지 | 3,000원 | 등록일 2020.06.27 | 수정일 2021.03.15
  • 부산대학교 공학설계실습 강체동역학 CAE A+ 보고서
    모터 : 다음 그림에서 보이는 것과 같이 0rad/s에서 시작하여 4rad/s까지 증가하여 유지된 다음 step4에서 다시 정지되도록 설정하였다.2. ... 총 스텝수는 4로 입력하였으며 각 스텝 마다 End Time를 다음과 같이 설정하였다.Current Step Number : 1 => Step End Time : 1sCurrent ... 선풍기 날개의 경우 회전을 하기위해 step1에서 15rad/s로 증가시켜준다.3.
    리포트 | 13페이지 | 2,500원 | 등록일 2022.06.28
  • 공학설계실습 2차 CAE 앤시스 동적해석 A+ 레포트
    : 0.1sminimum time step : 0.01s, maximum time step : 0.2s 으로 설정한다. ... 입력위의 그림과 같이 Step을 5단계로 나누어 가정한 상황별로 시간을 다르게 설정하여 총 6.4s가 되도록 설정하였다.Remote force > CONTROLLER 움직이는 힘 ... 또한 제품의 구조상 얇은 판의 형태에 하중이 실려 높은 회전속도로 회전하는 ROTOR와 TUBE의 접촉 부위가 파손될 우려가 크이 해석시간을 적용한다. initial time step
    리포트 | 25페이지 | 4,000원 | 등록일 2023.01.06 | 수정일 2023.11.04
  • 아주대학교 기계공학응용실험 A+ 결과보고서 DC모터 구동 및 해석
    _{m}과K _{t} 선정InputVoltageB _{m}K _{t}데이터·시뮬레이션 간 평균제곱오차ramp1V-0.0752-0.2128-ramp3V0.03090.08683.983step3V0.03340.09305.892step ... 특히 그림9 ramp input 3V의 각가속도에서 노이즈가 가장 심하지만 그 경향은 실험과 시뮬레이션이 일치한다. step과 sine input에서의 그래프를 살펴보면 실험과 시뮬레이션의 ... . step input 3V에서 시간 상수가 증가할수록 회전각 데이터를 미분한 값에서의 노이즈가 줄어드는 것을 확인하여tau =1을 선정하였다.
    리포트 | 11페이지 | 1,000원 | 등록일 2021.03.25
  • 아두이노 DC모터+STEP모터 결과레포트
    스텝모터 제어 실습결과- STEP 모터 모듈 1A, 1B, 2A, 2B와 D40, D41, D42, D43과 연결한다.- STEP 모터가 제대로 연결이 되어 있으면 스텝모터가 회전하는 ... 또한 스텝모터의 구동방식에는 UNI-POLAR와 BI-POLAR가 있다. ... (void){init();setup();for(int x=0;x
    리포트 | 17페이지 | 1,500원 | 등록일 2021.12.28
  • 스테핑모터ppt
    도체스텝 모터의 특징 스테핑 모터 (Stepping motor) 는 스텝 모터 , 펄스 모터 등으로 불려지기도 하는 모터로서 이것을 직역하면 보진 전동기 또는 계동 전동기라고 할 수 ... 스텝 모터가 DC 서보 모터나 AC 서보모터와 크게 다른 점은 shaft 의 위치를 검출하기 위한 별도의 feedback( 센서 등의 신호 ) 없이 , 정해진 각도를 회전하고 , 상당히 ... 에서 사용하기에 적합한 motor 이다 .
    리포트 | 9페이지 | 2,000원 | 등록일 2024.05.21
  • 제어계설계 Term Project
    실험 제목Matlab simulink와 arduino를 이용한 servo motor의 각도 변화에 따른 potentiometer 출력 전압의 각도에 대한 calibration.2. ... time이 0(s)이고 final value가 1인 step input을 받는 controller의 Block Diagram Model을 그린다. ... Block Diagram Model of closed-loop control system with PID controller와 같이 Matlab의 Simulink를 이용해 step
    리포트 | 15페이지 | 1,000원 | 등록일 2021.05.17
  • [A+] 제어공학실험 스탭모터 실험 보고서
    Step-Motor의 정의Step-Motor는 외부의 DC전압 또는 전류를 모터의 각 상 단자에 스위칭방식으로 입력시켜 줌에 따라 일정한 각도의 회전을 하는 모터이다. ... Step-Motor의 구동원리그림에서 고정자 권선(stator)에 전류를 흘려주면 그림과 같이 N극과 S극이 형성되어회전자(rotor)인 영구자석이 (a)의 위치에 오게 된다. ... 제어공학실험Step-MotorⅠ. Step-Motor의 원리1.
    리포트 | 9페이지 | 2,500원 | 등록일 2019.11.28
  • 제어시스템설계 설계과제 레포트
    모터가 적은 비용으로 짧은 시간에 step 입력에 대한 목표치에 도달하게 하는 제어기를 설계한다.2. 모터를 가능한 오래 사용할 수 있는 (oscillation이 작게. ... 모터가 적은 비용으로 짧은 시간에 step 입력에 대한 목표치에 도달하게 하는 제어기를 설계한다.2. ramp 입력에 대한 오차가 작은 제어기를 설계한다.2. 제한 요소A.1. ... 제어기를 추가했을 때의 Step Response와 Root Locus는 다음과 같다.nump=[1 147];denp=[1];sysp=tf(nump,denp);step(sys);rlocus
    리포트 | 8페이지 | 2,500원 | 등록일 2020.09.02
  • 광전자공학 4주차 조별 보고서, 회절격자 & 프리즘
    스텝모터 위에 프리즘을 올려서 원하는 각도만큼 스텝모터를 회전시킴으로써 프리즘을 회전시켰다. ... , 스카치 테이프, 칼, 하드보드지(아크릴 판), 플라스틱 자, 웜기어창의적이면서도 분광 해상도가 높은 분광기를 만들기 위해서 고민하던 중, 스텝 모터의 마이크로스텝 모드와 같이 모터를 ... 광전자공학실험4주차 조별 보고서 – 회절격자 & 프리즘분광기 시스템 구상, 실험 이론실험에 필요한 준비물광원, 프리즘, 회절 격자, 광센서, 조이스틱, 핀홀, 볼록렌즈, 전선, 아두이노, 스텝모터
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.08
  • 아주대학교 자동제어실험 5번 실험 / 스텝 모터 및 RC 서보모터 / 결과보고서
    스텝 모터 및 RC 서보모터1. 실험 목적본 실험에서는 스텝 모터와 서보모터의 사용법에 대해 다룬다. 모터는 전동기, 우리가 흔히 알고 있는 발전기의 그것이다. ... Operation본 실험에서 사용하는 L297 IC는 스텝 모터 컨트롤러이다. 2상 Bipolar, 4상 unipolar 스텝 모터에 대하여 4상 구동 신호를 생성할 수 있고, 위상은 ... 스텝 모터의 회전 각도는 ‘펄스’를 기준으로 함으로 펄스를 하나 더 먹는 Full Mode가 회전 각이 2배가 될 것이다.2) 스텝모터가 구동되는 주파수 범위를 알아보고, 범위를 벗어나면
    리포트 | 7페이지 | 1,000원 | 등록일 2022.03.06
  • 전기기기실험_Ch01
    Stepping motor스테핑모터는 외부의 DC전압 또는 전류를 모터의 각 상단자에 스위칭방식으로 입력시켜 일정한 각도의 회전을 하는 모터이다. ... 펄스입력으로 오픈 루프 제어가 가능하다. 2) 모터의 회전각도와 입력펄스의 수가 완전히 비례한다. 3) 한 스텝 당의 각도오차가 적고, 오차는 누적되지 않는다. 4) 기동정지, 정 ... / 역회전의 응답성이 좋다. 5) 모터의 축을 부하에 직결한 상태로 초저속으로 동기운전이 가능하다. 6) 자기 유지력이 있어서 브레이크 등을 사용하지 않고도 정지 위치를 유지할 수
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.22
  • 부산대학교 제어시스템 보고서 예비,실험1 (A+/m파일포함)
    실험내용2.1 실험방법 ① Kp값을 0에서 50씩 증가시키며 1000까지 달리 입력 한다. ② 모터의 회전각은 180°(degree)로 맞춘다. ③ Input으로 Step 함수를 선택한다 ... 또한, Matlab을 이용하여 이론적인 스텝응답과 실험 시의 응답을 비교해 본다.2. ... 비례게인에 따른 Step response의 실험데이터와 시뮬레이션 데이터를 동시에 나타낸 그래프를 아래에 첨부하였다. 4.
    리포트 | 9페이지 | 2,500원 | 등록일 2020.12.30
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대