• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(19)
  • 리포트(19)

"스톱워치 구현 보고서" 검색결과 1-19 / 19건

  • A+받은 TTL과 SPLD로 구현한 59.9초 스톱워치 응용회로 결과보고서
    기초전자공학실험1 응용실험 보고서(추가1) TTL와 SPLD로 구현한 59.9초 스톱워치회로 개요구성도nCLR 스위치 - FND_A에 나타나는 숫자의 초기화를 위해 사용EN 스위치 ... 및 분석도움말: 최종 구현회로에 대한 주요 사진을 첨부하고, 구현 시 어려운 점과 개선점, 만약 보완설계를 진행한다면 추가하고 싶은 설계 등 구현사항에 대한 분석을 서술하세요.Enable ... 를 작동하면 잠시 정지되고 다시 작동하면 다시 시간이 흐른다, reset switch 를 누르면00.0 초로 리셋되며59.9초 이후에는 00.0 초에서 순차적으로 다시 작동한다.구현
    리포트 | 6페이지 | 1,500원 | 등록일 2019.09.06
  • 스톱워치 구현 보고서
    Term Project- Stop-Watch 실험 -과 목: 디지털회로실험 및 설계교수명:학 과: 전자공학과조번호: 12조학 번:이 름:1. 실험목표0부터 59초까지의 시간을 측정하는 데 사용되는 간단한 디지털 시계의 회로를 구성하여 실험하고 결과를 관찰한다.2. 실험..
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • 스톱워치 vhdl 구현 보고서
    3.vhdl 코드1)Clk_div1MHz -> 100Hz 로 분주하여 0.01 초 단위로 숫자가 카운트 될 수 있도록 설계한다.--clkdiv.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigne..
    리포트 | 14페이지 | 2,000원 | 등록일 2013.12.24
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 final project Digital Clock 기능7개 전자전기컴퓨터설계실험2
    전자전기컴퓨터공학부 설계 및 실험2Term project-최종보고서Digital Clock실 험 날 짜학 번이 름Ⅰ. ... 본 보고서에서는 DIGITAL CLOCK의 CODE설명과 함께 실제로 동작했을 때의 검증결과를 담았다.Ⅱ. 본론1. ... DIGITAL CLOCK CODE 설명Digital clock의 기능구현을 설명하기 위해 크게 1. 시계작동 2. 알람 3. 타이머 4. 스톱워치 5.
    리포트 | 52페이지 | 6,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    스톱워치 기능□ 0.01초까지 표기.□ 시간 기록 기능 구현.□ 스톱워치의 RESET, STOP 기능 구현.4)달력 기능□ 연/월/일/요일을 표현.□ 버튼 스위치로 값을 변경.□ 시계가 ... 참고문헌 (15)※ 이 보고서를 참고하시는 분께 몇 가지 드릴 말씀이 있습니다.- 소스코드는 보고서 맨 마지막에 전체가 첨부되어 있습니다. ... 소스코드본 실험에서 작성한 디지털 시계의 전체 소스코드는 분량이 매우 긴 관계로 이 보고서에는 싣지 않고, 보고서와 함께 별도의 파일로 첨부한다.
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 기초실험1 7 segment counter 결과보고서(틴커캐드)
    결과보고서학 과학 년학 번조성 명전자공학과실험 제목7Segment_Counter실험 결과1. 7 segment: 7 segment decoder와 7 segment LED를 연결해 ... 또한, 앞의 실험에서 구현한 counter의 경우에는 시계뿐만 아니라 진행된 시간을 확인하는 스톱워치로도 활용이 가능할 것이다. ... 이를 구현하고 timing diagram을 확인했다.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.12 | 수정일 2023.11.29
  • [A+] 중앙대 전기회로설계실습 7주차 결과보고서 (RC회로의 시정수 측정회로 및 방법설계)
    전기회로 설계 및 실습결과보고서학 부전자전기공학부학 번조이 름실 험 일제 출 일담당 교수설계실습 7. RC회로의 시정수 측정회로 및 방법설계1. ... 또한, 예비보고서에서 언급하였듯이 DMM과 커패시터를 연결할 때 손으로 연결하면 손의 떨림으로 접촉이 불안정하므로 SPDT나 SPST 스위치를 이용하여 충전과 방전을 정확히 구분해야 ... 방전되기 시작하는 순간과 0.368V _{o}가 되는 순간에 맞춰 정확히 스톱워치를 작동시키는 것은 불가능하였다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.05.27
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    논리회로설계 실험 설계과제 보고서주제 : #2 STOPWATCH 설계1. ... 지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다.2) 설계 목표VHDL을 이용하여 스탑워치를 만든다. ... 또한 이 clk들로 자릿수 올림을 하는 코드를 구현한다.3. 7seg표시방식1과2를 통하여 스위치에 따른 스탑워치의 작동과 분주기 설정, 자릿수 증가가 내부적으로 구현되었다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 논리설계및실험텀프로젝트 전자시계 제안서
    University정보컴퓨터공학전공 부산대학교2014년 10월 07일(화)요 약Term Project 전자시계 제작에 관한 보고서로 Project의 목표와 달성 과제, 추진 일정과 ... 및 최종 목표인 전자시계 구현과제 내용시계 : 현재 시간을 표현세계 시계 : 현재 시간을 기준으로 한 세계 시간 변경알람 : 입력한 시간을 저장 후 저장한 시간이 되면 알람이 작동스톱워치 ... 002분반(화요일)논리회로 설계 및 실험23조 Term Project 제안서 (전자시계)Dept. of Computer Science & Engineering/Pusan National
    리포트 | 6페이지 | 2,000원 | 등록일 2016.11.28
  • 논리설계 및 실험 전자시계 결과보고서
    002분반(화요일)논리회로 설계 및 실험23조 Term Project 결과 보고서(전자 시계)Dept. of Computer Science & Engineering/Pusan National ... University정보컴퓨터공학전공 부산대학교2014년 12월 05일(화)요 약Term Project 전자시계 제작에 관한 보고서로 Project의 최종 결과 및 Project 작동 ... 상태로 넘어간다.스톱워치스톱워치의 초기 상태는 00:00:00:00으로 한다.스톱워치 상태에서 E버튼의 입력에 따라(start/stop)상태가 정해지며, Start상태에서 F버튼을
    리포트 | 9페이지 | 2,000원 | 등록일 2016.11.28
  • 인터럽트 결과보고서
    실험(4)결과보고서(5장 인터럽트)1. 실험 결과1) 인터럽트로 LED 점멸(실습5)ATmega128 마이크로컨트롤러의 인터럽트 기능을 이용하여 LED를 점멸시키는 실습이다. ... 스톱워치구현하는 실습이다. ... 포트 D의 1번 비트는 Int1의 인터럽트를 사용해 스톱워치 리셋 기능을 구현하였다.2.
    리포트 | 3페이지 | 1,500원 | 등록일 2015.11.09
  • 실험4)인터럽트 실습 5, 6
    실험(4) 예비 보고서●인터럽트소속 : 홍익대학교 전자전기공학부수업 : 금요일 교시 조학번 : B 이름 : 김담당교수님 : 유 교수님담당조교님 : 박 조교님제출일자 : 2015년 월 ... Stop/Resume 기능을 구현.Int1을 이용하여 스톱워치의 리셋 기능을 구현.- 스톱워치의 표시는 Array FND를 사용한다.2) 실습 목표- 인터럽트 활용 방법의 습득(관련 ... ▣실습6: 인터럽트를 이용한 스톱워치1) 실습개요- 스위치 모듈과 Array-FND 모듈에 연결하여 스톱 워치를 제작- 일정 시간마다 클럭에 의해 FND에 숫자와 문자가 디스플레이
    리포트 | 8페이지 | 1,500원 | 등록일 2015.11.12
  • [토끼] VHDL로 구현한 [시계와 스톱워치가 내장된 자동차 연료 잔류량 표시기] 텀프로젝트
    응용논리 텀프로젝트 최종 보고서조지 도 교 수주 제시계와 스톱워치가 내장된 자동차 연료 잔류량 표시기조 원1. ... 참고로 남는 세그먼트에는 시계를 같이 구현하도록 한다.2. 역 할 분 담역 할3. ... 따라서 자동차 남은 연료량과 속도에 따른 소비량을 실시간으로 표시해주는 연료 잔류량 표시기를 구현해보도록 하고 운전 중에 참고 하면서 올바른 운전습관을 갖도록 유도한다.
    리포트 | 43페이지 | 5,000원 | 등록일 2013.01.17 | 수정일 2020.07.10
  • 2주차 예비보고서(Internal Memory의 이해)
    실험(4) 예비보고서마이크로컨트롤러 기능? Internal Memory의 이해? ... Int0에 의해서 스톱워치의 Stop/Resume 기능을 구현? Int1을 이용하여lude ... 인터럽트실험(4) 2주차 예비보고서(Internal Memory의 이해)Internal Memory의 이해1.
    리포트 | 14페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • 3주차 예비보고서(타이머와 카운터)
    실험(4) 예비보고서마이크로컨트롤러 기능? 타이머와 카운터실험(4) 3주차 예비보고서(타이머와 카운터)Timer and Counter1. ... 목 적타이머와 카운터를 제작하는데 필요한 소자특성에 대해 이해하고 구현한다.2. 내 용2.1 타이머와 카운터2.1.1 정 의① 정확한 시간의 측정이 필요하다. ... (자명종과 스톱워치)② 임베디드 시스템에서 타이머와 카운터가 시간측정의 일을 담당한다.③ 타이머/카운터는 일정한 개수만큼의 클럭을 세어 시간으로 측정하므로, 정확한 시간재기가 가능하다
    리포트 | 12페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • VHDL 을 이용한 디지털 시계 만드는 최종 보고서
    VHDL 최종 보고서목 차 설계의 목표 자료조사 사용부품 사용장비 구현방법 기대효과 일정계획 ( 블록도 ) 프로그램 소스설계의 목표 무엇을 만들 것인가 ? ... 스톱워치를 만들 예정 입니다 . 분 , 초 , 그리고 알람 기능도 넣었습니다 . ... 알람 버튼을 눌러 알람 설정자료조사 시장조사 : 유사제품 있음 고객조사 : 유사 제품이 있음으로 조사하지 않았습니다 .사용 부품사용 장비구현 방법 사용한 도구 : ALTERA MAX
    리포트 | 20페이지 | 2,000원 | 등록일 2010.12.23
  • 3차원가상현실을 통한 반응시간 및 인간실수율 변화파악
    인간공학-기말 실험 보고서-요약본 실험에서는 가상 현실에서의 반응 시간과 실수율을 줄이기 위한 3D입체 경험의 효과를 계량적으로 측정하는데 목적이 있다. ... 정상적인 사람이 스톱워치를 누를 때, 나타나는 오차 시간은 0.2초 가량 된다. ... 그러나, 반응의 경우 사람의 실수율을 측정하는 과정에서 오류가 발생할 가능성이 있고, 반응 시간을 스톱워치로 측정하는 것도 무리다.
    리포트 | 54페이지 | 1,500원 | 등록일 2010.10.28
  • 센서2
    결과보고서 :센서2【실험1】 Hall Sensor1. 실험 목적자극(N, S극)의 변화와 자기의 세기에 따른 Hall Sensor의 특성을 이해하고 원리를 파악할 수 있다.2. ... 이것은 일종의 스톱워치(stop watch)로 시간을 잰다고 생각하면 된다. 숫자를 세는 데 걸리는 시간이 1라면, 번 세었을 때 초음파가 되돌아 왔을 때 걸린 시간은가 된다. ... 높아져 0.1 s 미만의 응답속도를 얻을 수 있었으며 기존에 상용화 되어 있는 초음파 풍향풍속계 보다 더 간단한 회로와 내부구조로 더 높은 샘플링 속도를 갖는 풍향풍속측정 시스템을 구현하였다
    리포트 | 20페이지 | 1,500원 | 등록일 2010.05.23
  • [API 프로그래밍] WINDOWS API로 구현한 시계 프로젝트
    #include #include #include #include "resource.h"SYSTEMTIME SystemTime; // 시스템의 시간 정보를 저장하는 함수 RECT wndRect = {0, 0, 300, 350};// 시간을 출력하는 함수 void Show..
    리포트 | 20페이지 | 2,000원 | 등록일 2002.11.16
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:45 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대