• 통큰쿠폰이벤트-통합
  • 통합검색(27)
  • 리포트(27)

"스톱워치 VHDL" 검색결과 1-20 / 27건

  • 스톱워치 vhdl 구현 보고서
    3.vhdl 코드1)Clk_div1MHz -> 100Hz 로 분주하여 0.01 초 단위로 숫자가 카운트 될 수 있도록 설계한다.
    리포트 | 14페이지 | 2,000원 | 등록일 2013.12.24
  • VHDL을 이용한 스톱워치 구현
    I.프로젝트 개요VHDL을 이용한 Dot Matrix LED 제어 및 STOP WATCH 구현3개의 Dot Matrix로 MIN, SEC, SEC_HUN 표현Stop 버튼 과 reset
    리포트 | 13페이지 | 3,000원 | 등록일 2011.09.07
  • VHDL Stop Watch를 이용한 스톱워치 설계
    설계 VHDL Simulation값4............. Segment 동작사진5............. ... 비고 및 소감< 설계 내용 및 목적 >- 1 MSEC의 단위로 0~9999 Msec까지 범위의 작동하는 스톱워치를 설계하고 실제로 확인하라.< 소스작성 및 분석 >- 도입부는 시작( ... VHDL Stop Watch 설계 목차1............. 실험 내용 및 목적2............. 소스작성 및 분석3.............
    리포트 | 7페이지 | 1,500원 | 등록일 2012.11.17
  • VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트
    프로젝트 목표- VHDL에 프로그램을 작성하여 다수의 7segment로 디지털 스톱워치를 만들 수 있다.4. ... 지금까지 배웠던 여러 가지 설계를 응용하고 조합하여 보다 고난이도의 스톱워치를 만들 수 있는 단계까지 올라왔다. ... VHDL Source/Waveform? hz : 1kHz Clock으로부터 1/100초인 ???
    리포트 | 19페이지 | 2,000원 | 등록일 2011.03.01 | 수정일 2021.07.04
  • [vhdl] 시계 스톱워치
    실험 토의 이번 시계를 만들때 가장 어려운 부분이 스위치 처리 문제였다. mode 나 start_stop 키는 한번 누르면 계속 활성화 되어야 했었다. 시뮬레이션 상에서는 잘 동작 했는데, 실제로 회로를 구성했을때에는 start_stop 키를 누르지 않아도 stop w..
    리포트 | 18페이지 | 2,000원 | 등록일 2004.06.03
  • [VHDL] stopwatch 스톱워치 설계 / LPM Counter
    Problem) Stopwatch를 설계하시오.조건) ◎ 기본주파수 100Hz를 분주하여 1초의 주기를 갖는 Clock 설계.◎ Second와 Minute를 7seg 4개로 표시.◎ Start, Stop, Clear 입력.◎ 24시간과 요일을 나타내는 시계를 설계하라...
    리포트 | 14페이지 | 1,000원 | 등록일 2009.02.08
  • [토끼] VHDL로 구현한 [시계와 스톱워치가 내장된 자동차 연료 잔류량 표시기] 텀프로젝트
    응용논리 텀프로젝트 최종 보고서조지 도 교 수주 제시계와 스톱워치가 내장된 자동차 연료 잔류량 표시기조 원1. ... *** Toggle 스위치를 사용한다.SW2 : 시간 시작, 멈추기, 리셋SW1 : 스톱워치 기능 선택일정 시간 연료 소비량 계산시 이용6) CharacterLCD*** Character ... 잔유량 0.4LLEDG4 : 잔유량 0.5L4) 시계 기능*** Toggle 스위치를 사용한다.SW3 : 시계 시, 분 조정SW2 : 시계 시, 분 선택SW1 : 시계 기능 선택5) 스톱워치
    리포트 | 43페이지 | 5,000원 | 등록일 2013.01.17 | 수정일 2020.07.10
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다.2) 설계 목표VHDL을 이용하여 스탑워치를 만든다. ... 분주기를 설정해 주기위한 VHDL 코드에 대해서 알아본다. Count라는 variable을 범위를 설정하여 준다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    베릴로그나 VHDL, 배치와 배선(PAR)을 합성하고, 생성된 펌웨어 파일은 칩을 설정하는데 사용된다. ... 스톱워치 (13)4.3. 달력 (13)4.4. 알람과 타이머 (14)4.5. 세계 시간 (14)Ⅲ. 결론 (14)Ⅳ. ... 기능□ 0.01초까지 표기.□ 시간 기록 기능 구현.□ 스톱워치의 RESET, STOP 기능 구현.4)달력 기능□ 연/월/일/요일을 표현.□ 버튼 스위치로 값을 변경.□ 시계가 자정을
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • VHDL 디지털 시계
    VHDL을 이용하여 시계, 알람, 타이머, 스톱워치 기능을 설계하였습니다.사용자로부터 입력을 받을 수 있으며, 이를 LCD로 출력합니다.
    리포트 | 63페이지 | 3,000원 | 등록일 2010.12.07
  • 부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) 발표PPT포함
    부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) ->시간표시,시간설정, 알람, 스톱워치,요일표시중간, 최종발표PPT포함
    리포트 | 4,000원 | 등록일 2016.02.17 | 수정일 2019.06.10
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    논리회로설계 실험 설계과제 보고서 #2Stopwatch실험 배경 및 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 ... 또한 VHDL로 작성된 코드를 RoV-Lab3000을 사용하여 직접 하드웨어로 구현해 보며 사용법에 대하여 완벽히 숙지하였다. ... 따라서 stopwatch 구현 실험에서 스위치, reset 버튼을 사용하여 동작을 제어 할 수 있는 분, 초,초의 시간을 표현하는 stopwatch VHDL 코드를 작성해 보고 RoV-Lab3000을
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • VHDL 을 이용한 디지털 시계 만드는 최종 보고서
    VHDL 최종 보고서목 차 설계의 목표 자료조사 사용부품 사용장비 구현방법 기대효과 일정계획 ( 블록도 ) 프로그램 소스설계의 목표 무엇을 만들 것인가 ? ... 스톱워치를 만들 예정 입니다 . 분 , 초 , 그리고 알람 기능도 넣었습니다 . ... 완성품을 가정하고 동작절차를 설명 1. reset 버튼을 누르면 초기화 2. set 버튼을 누르면 분이나 초 설정 3. strtstop 버튼을 눌러서 스톱워치 정지 4.
    리포트 | 20페이지 | 2,000원 | 등록일 2010.12.23
  • VHDL의 활용(_디지털시계의 설계)
    디지털시스템의 설계를 위한 VHDL의 기본과 활용VHDL의 활용[ 디지털시계(digital watch)의 설계]▣ 제1절 목표,구성 및 동작? 설계의 목표? ... 7_segment : 시간표시, 스톱워치 시간 표시(8개)? LED : 모드의 표시(4개)? 디지털시계의 동작? ... 시간(time)표시 기능, 시간수정, 스톱워치(stop watch) 기능의 디지털 시계 설계? 모드선택과 시간수정은 버튼이용, 시간표시는 7_segment이용? 구성 요소?
    리포트 | 12페이지 | 1,500원 | 등록일 2010.06.13 | 수정일 2017.12.22
  • 응용논리회로 텀프로젝트 제안서
    바탕으로 VHDL에 대해서 좀더 익숙해 지는 계기를 마련하기 위하여 선정하였습니다. ... - 스위치 입력시 시계에서부터 -> 스톱워치 -> 달력 -> 알람 순서로 표시- 스위치로 각 기능 선택 상황에서 시간 및 날짜 변경등의 세부 설정 선택- 스위치 입력시 에서 선택된 ... 또한 지금까지 응용논리회로설계 수업 시간에 배운 다양한 디지털 회로 및 소자의 VHDL표현을 가장 잘 활용 할 수 있는 것 중 하나가 디지털 시계제작이라고 판단되어 이번 프로젝트를
    리포트 | 4페이지 | 1,000원 | 등록일 2010.10.13
  • [디지털시스템] Project2 보고서 VHDL을 이용한 Digital Clock Mode Generator (소스포함)
    일반적인 전자시계의 경우 특정 버튼을 누르게 되면 시간이나 날짜, 스톱워치나 시간이나 날짜 변경 등을 할 수 있다. ... 1 Introduction 이번 실습에서는 digital clock chip 에 있어 사용되는 mode generator 를 VHDL(VHSIC Hardware Description ... 기능을 구현하기 위해 이번 실습에서는 3 개의 버튼을 가정하고 그 버튼을 누를 때마다 MODE 가 바뀌도록 구현하여 이 변화를 다른 모듈에 알려주는 MODE GENERATOR 를 VHDL
    리포트 | 13페이지 | 3,500원 | 등록일 2008.07.07
  • 스톱워치 구현 보고서
    Term Project- Stop-Watch 실험 -과 목: 디지털회로실험 및 설계교수명:학 과: 전자공학과조번호: 12조학 번:이 름:1. 실험목표0부터 59초까지의 시간을 측정하는 데 사용되는 간단한 디지털 시계의 회로를 구성하여 실험하고 결과를 관찰한다.2. 실험..
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • 디지털 시스템 설계 / VHDL을 활용한 디지털 시계(기능 막강)
    VHDL 소스LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; -- 프로그램 시작 초기 선언 --ENTITY ... 사용한 PLD 는 2500 개와 제한된 소스 수로 인해, 다양한 기능의 구현은 불가능 했지만, 0시부터 23시까지(AM / PM을 모두 감싸 안을수 있는), 알람, 시간 조절, 스톱워치 ... 리셋SW_SETER => 스톱워치 시작 / 종료SET_HOUR / MIN / MIN2 => 시간 / 분 수정SEG1, 2, 3, 4 => 시간 / 분 출력ALALM => 알람 출력
    리포트 | 17페이지 | 1,500원 | 등록일 2007.11.18
  • 디지털회로실험 17장. 동기 카운터
    동기식 카운터의 VHDL 기술리스트 8.18은 회로도에 기반한 기술인데 반해 리스트 8.19는 각 플립플롭 [D]의 논리식에 기반한 기술동기식에서는 덧셈 연산자 [+]를 사용한 리스트 ... 프리세트 카운터의 용도를 열거하라.7. 74160과 74190의 동작상 차이점을 기술하라.- 74160은 인에이블 입력이 1일 때 계수동작이고, 0일 때 스톱워치의 기능이 수행된다. ... 반대로 74190은 인에이블 입력이 0일 때 계수동작이고, 1일 때 스톱워치의 기능이 수행된다.8. n진 계수기가 n분주기로 사용될 수 있음을 타이밍도로 예를 들어서 설명하라.9.
    리포트 | 13페이지 | 1,000원 | 등록일 2014.04.07
  • VHDL을 이용한 디지털시계설계
    VHDL을 이용한 디지털시계(Digital Watch)① 시간표시 기능(시, 분, 초), 시간수정기능, 스톱워치 기능이 되면 디지털 시계설계② 모드 선택과 시간수정은 버튼을 이용하여 ... VHDL을 이용한 디지털시계4. VHDL Code5. 실험 결과 및 분석6. 실험방법(트레이닝 키트)7. 결론 및 느낀점1. ... 전체적인 디지털시계설계 소개- VHDL 구문을 이용하여 디지털시계를 설계하는 것이며 7-Segment의 동작원리에 대해서 이해하고, VHDL을 이용한 7-Segment 제어 방법을
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:50 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대