• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(21,091)
  • 리포트(18,542)
  • 시험자료(908)
  • 자기소개서(699)
  • 방송통신대(530)
  • 서식(268)
  • 논문(93)
  • ppt테마(30)
  • 이력서(15)
  • 노하우(6)

"코드2.0" 검색결과 1-20 / 21,091건

  • 코드 2.0 독서 감상문(사이버법률 레포트)
    사이버 법률 레포트(코드 2.0 감상문 : 저작권 부분)학 과 :학 번 :성 명 :제출일 :3 - 1Ⅰ. ... ‘코드 2.0’의 저자 로렌스 레식은 사이버공간은 법적 통제를 벗어난 자유의 공간이 아니라 법과 ‘코드’를 통해 보다 엄격하게 통제 할 수 있다면 어떠한 결과가 발생하고 어떠한 부분을 ... 2.0’에서 저자가 언급한 ‘지적 재산권’ 분야중 ‘저작권’ 부분에 대해 강의 내용과 연계하여 고찰해 보고자 한다.Ⅱ.
    리포트 | 4페이지 | 2,000원 | 등록일 2020.09.01
  • 알고리즘 설계실습 '0-1 배낭문제에 대한 동적 계획법 1,2,3 알고리즘 소스코드코드 설명서' 리포트
    ●프로그램 개요0-1 배낭 문제에 대한 동적 계획법 1, 2, 3 알고리즘을 구현하여 배낭의 크기(M)는 13이고, 각 물건의 크기(W)는 {2, 4, 5, 8, 3}이고, 각 물건의 ... ●프로그램 구조0-1 배낭 문제에 대한 동적 계획법 1을 구현한 함수와 결과를 배열의 형식으로 출력해주는 출력 함수를 구현하였고, 최대 이익을 출력하도록 하였습니다.0-1 배낭 문제에 ... 대한 동적 계획법 1을 개선하여 순환 호출을 이용하여 동적 계획법 2를 구현한 함수와 결과를 배열의 형식으로 출력해주는 출력 함수를 구현하였고, 최대 이익을 출력하도록 하였습니다.
    리포트 | 3페이지 | 3,000원 | 등록일 2022.11.15
  • 액션스크립트 3.0으로 만든 플렉스 테트리스 소스코드와 상세한 분석(추가2)
    최대한 초보자도 따라해보고 이해할 수 있도록 기술할 예정이지만 만일 이해안되는 부분이 있다면 제 블로그(http://blog.naver.com/icebox2)에 댓글을 남겨주시면 (
    리포트 | 12페이지 | 1,000원 | 등록일 2011.08.30
  • <<AVR을 이용한 적외선 센서(PSD)의 거리측정>>거리측정하기,장애물감지,PSD센서,GP2Y0A21,회로도,소스코드,IR센서,장애물인식,적외선 센서실험,근접물체인식,동작원리
    ['[AVR을 이용한 적외선 센서(PSD)의 거리측정] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. ... 회로에 연결한 것처럼 ADC의 안정된 전압을 위하여 ATmega128 MCU의 AVCC와 AREF에 10uH의 인덕터와 0.1uF의 캐패시터를 연결하였다.
    리포트 | 15페이지 | 4,000원 | 등록일 2015.10.10 | 수정일 2017.04.20
  • [방통대 공통학과 1학년 컴퓨터의 이해 공통] 1. 아래의 문제에 대하여 A4 용지 2페이지 내외로 서술하라.
    종류와 사용사례1) QR code2) DataMatrix3) PDF4174) MaxiCode3. QR코드 만들기Ⅳ. 나가며Ⅰ. ... 삼성 갤럭시 S91) 입력장치2) 중앙처리장치 : 10나노 옥타코어 프로세서3) 출력장치4) 기억장치5) 운영체제 : 안드로이드 8.0 오레오3. ... 용량 한계를 극복하여, 문자ㆍ숫자ㆍ사진 등 대량의 정보를 작은 사각형 안에 2차원의 심벌로 고밀도 코드화한 것이다. 1차원 바코드는 주로 13∼14자리의 숫자 데이터를 표시하지만,
    방송통신대 | 11페이지 | 4,500원 | 등록일 2020.03.17
  • C프로그래밍 시험 족보
    = 65; //위 아래의 코드는 동일하게 문자 A를 나타냄code2 = ‘A’;printf(“%c”,code1/n);printf(“%c”,code2/n);return 0;}4) 제어 ... (“%c”,beep);return 0;}#include int main(){char code = 65;printf(“%c %d %c”,code+1, code+2, code+3);return ... : 영어의 알파벳이 기초를 둔 문자 엔코딩 방법→0~127까지의 숫자 이용→128~255까지 확장 아스키코드#include int main(void){char code;code1
    시험자료 | 3페이지 | 3,500원 | 등록일 2021.02.19
  • 2020학년도 2학기 C++ 프로그래밍 출석대체과제물 소스코드
    2020학년도 2학기 C++ 프로그래밍 출석대체과제물 소스코드제출할 결과물 중 2번에 해당하는 내용입니다.http://cs.knou.ac.kr/~brlee/qna3.asp에 가시면 ... using namespace std;struct C2D{double x, y;};class Polygon{int num; // 꼭짓점 개수vector points; // 꼭짓점들public ... points[j].x + this->points[i].x) * (this->points[j].y - this->points[i].y);j = i;}return abs(area / 2.0
    방송통신대 | 3페이지 | 3,900원 | 등록일 2020.11.20 | 수정일 2020.11.24
  • [ 통신이론1 ] Sine 신호의 PM 구현, Spectrum을 보고 대역폭 결정, Carson'srule과 1percent 대역폭 비교
    코드(1) kp가 0.01일 때(2) kp가 0.2일 때2. 스펙트럼(1) kp가 0.01일 때(2) kp가 0.2일 때3. ... Carson / 1% 대역폭(1) kp = 0.01일 때PM변조의 β = kp*Am = 0.1, △f = kp*Am*fm = 60β = 0.1일 때, 2nmax = 2Carson’s ... 각자 정한 대역폭kp = 0.01일 때의 스펙트럼을 보면 캐리어의 주파수를 중심으로 의미있는 신호가 나타나는 구간이 약 2.4kHz정도 되는 것과, kp = 0.2일 때의 스펙트럼은
    리포트 | 4페이지 | 3,000원 | 등록일 2022.12.29
  • 서울시립대 전자전기설계2(전전설2) 7주차 결과보고서
    2'd0,STATE_1 = 2'd1,STATE_2 = 2'd2,STATE_3 = 2'd3;가장 먼저 코드에서 나오는 locarparam 코드는 총 4개의 상태에 대해 미리 지정해 놓은 ... 있다.또 in이 다시 0으로 하강하고 1로 다시 상승하자 같은 사이클이 돌았다.즉 실습0 교안에서 요구하는 코드를 이상 없이 작성하였다.2. ... STATE_1;else next_state = STATE_2;enddefault : next_state = STATE_0;endcaseend위 코드는 주어진 state가 어떤 state냐와
    리포트 | 15페이지 | 1,500원 | 등록일 2019.10.30
  • 컴파일러구성_중간출석과제
    알맞은 코드생성 루틴을 부름으로서 중간코드를 생성코드최적화(code optimization) 단계코드를 좀 더 효율적으로 만들어 코드 실행 시 기억공간이나 실행시간을 절약하기 위한 ... 단계목적코드 생성(code generation) 단계연산을 수행할 레지스터를 선택하거나 자료에 기억장소의 위치를 정해 주며, 실제로 목적기계어에 대한 코드를 생성과제3. ... 생성OX에러 발생전체 코드 변환 후에러가 나면 그 이후 코드 실행 안됨과제2.
    방송통신대 | 5페이지 | 3,000원 | 등록일 2022.11.01
  • [세종대학교] [ 전자정보통신공학과] [전정통] [정보이론] 과제05(크레프트 부등식)
    빈도수가 전체의1/2이었다면a는011010으로 표현되지 않고0이나1로 표현되었을 것이다. ... depth가 2인 트리가 그려질 것이고 a, b, c, d들은 leaft 노드 부분이라는 것을 쉽게 알 수 있다.kraft inequality(크래프트 부등식) : prefix code를 ... kraft inequality를 설명하기 앞서 prefix code를 먼저 이해하자.Prefix code : 특정 비트표현이 다른 비트표현과 겹쳐지지 않는 코드예를 들어 a : 110
    리포트 | 1페이지 | 2,500원 | 등록일 2022.11.18
  • C언어정리 여덟번째(문자열)
    ("code2=%c, code2=%d\n", code2, code2); //code2을 %c로 출력하면 A로 나오고 %d로출력하면 65나온다.return 0;}→code1=A, code1 ... =65code2=A, code2=65♣아스키코드 숫자 출력시키기#include int main(void){unsigned char code;for (code = 48;code < 58 ... 0;}→ 0123456789♣아스키코드 대문자 알파벳 출력시키기#include int main(void){unsigned char code;for(code=65;code
    리포트 | 21페이지 | 1,000원 | 등록일 2021.01.04
  • 논리회로설계실험 5주차 Encoder 설계
    또한 testbench code를 직접 짜면서 4:2 Priority encoder를 테스트하는 코드를 짜보았는데, input과 output부분, module instantiation ... 나누어 그리고 각각 Boolean expression을 구하였다.Out1 = c + d Out0 = d + bc’3) Verilog Implementations(코드 실행)4:2 ... 코드를 표로 간단히 나타내어 설명하면, 다음과 같다.input {d, c, b, a}output {out1, out0}4’b0001(0 , 0)4’b001x(0, 1)4’b01xx(
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • java와 Python으로 배열을 이용해 자료 삽입, 삭제, 배열 증가시키는 code 만들기
    java coding배열을 이용해 자료 삽입, 삭제, 배열 증가시키는 java 와 파이썬 code 만들기 TOC \h \u \z Hyperlink \l "_arolcxe0i15c" ... 결론 PAGEREF _o1733hh4tew0 \h 5I. 서론java에서 배열을 이용해 자료 삽입, 삭제, 배열 증가시키는 code 만드는 법을 설명한다. ... Java 전체 코드 작성 PAGEREF _cjh14jn1qeox \h 2 Hyperlink \l "_vjfrwe5fbezt" 3.
    리포트 | 6페이지 | 2,500원 | 등록일 2023.01.19
  • [예비보고서] 7.논리함수와 게이트
    code는 Least N bit는 1을, 이외의 bits는 0을 가지는 코드이다. ... 코드를 의미한다.즉, 2x4 Binary to Thermometer Decoder란 입력으로 들어온 2 bits Binary code를 앞서 정의한4 bits Thermometer ... 진리표와 회로도는 다음과 같다.B1B0T3T2T1T00*************0011110111Output인 Thermometer code를 Input인 Binary code를 이용하여
    리포트 | 4페이지 | 1,000원 | 등록일 2023.01.03
  • 수치해석(이분법, 뉴턴법, 할선법) MATLAB 코딩
    문제의 정의 및 정리문제)f(x)=e ^{x/2} -x ^{2} 의 그래프를 제시된 함수가 0이 되는 양의 해를 a) 이분법,b) Newton법, c) 할선법을 이용하여 구하라.=> ... 분석한다.< 그래프 코드 소스 >>> x=-2:0.1:9; % 구간설정y1=exp(x/2); % y1 함수plot(x,y1) % 그래프 표시hold on;y2=x.^2; % y2 함수plot ... ”로 대략적으로 그려보면 아래와 같다.< 그래프 코드 소스 >>> x=-2:0.1:9; % 구간설정y=exp(x/2)-x.^2; % 주어진함수plot(x,y); % 그래프 표시grid
    리포트 | 11페이지 | 1,500원 | 등록일 2020.12.07
  • [컴퓨터의 이해 공통] 1. 아래의 문제에 대하여 A4 용지 2페이지 내외로 서술하라. 2. 2차원바코드에 대하여 다음의 내용을 A4 용지 2페이지 내외로 서술하라.
    삼성 갤럭시 S91) 기본 특성2) 운영체제 : 안드로이드 8.0 오레오3) 프로세서Ⅲ. 2차원 바코드1. 개념1) 정의2) 종류2. ... 사용사례1) QR코드2) 데이터 매트릭스3) PDF4174) MAXICODE5) 아즈텍3. QR코드 만들기1) QR코드의 특징2) QR코드 만들기Ⅳ. 나가며Ⅰ. ... 삼성 갤럭시 S201) 기본 특성2) 운영체제 : 안드로이드 103) 프로세서2. LG V30S ThinQ1) 기본 특성2) 운영체제 : 안드로이드 8.0 오레오3) 프로세서3.
    방송통신대 | 9페이지 | 4,000원 | 등록일 2020.04.21 | 수정일 2020.05.25
  • 인하대 전자회로실험1, 전자공학실험1 PWM
    10; i ... 디지털 신호는 0과 1 두개의 discrete한 출력만 존재하는데, 이 때 pulse를 이용하여 원하는 전압 신호의 평균값을 출력하면 디지털 신호가 아날로그 신호처럼 continuous하게 ... 실험① PWM을 이용한 LED 밝기 조절코드 및 주석int ledPin = 11; void setup(){ pinMode(ledPin, OUTPUT);}void loop(){ for
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.01
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서5
    code는 변환이 이루어진 8421(BCD) code에 3(0011)을 더해서 나타낸 코드이다. ... code- 8421(BCD) code는 10진수를 4bit 2진수로 나타낸 code이다. ... D2'D3B = D0'D1D2'D3' + D0'D1'D2'D3논리 회로도(3) 8421(BCD, Binary Coded Decimal, 2진화 10진수) code 및 Excess-3
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 정보통신기초 설계
    [그림 2.2] module code[그림 2.3] Test bench code[그림 2.2], [그림 2.3]은 주어진 D-FF을 Verilog 코드로 나타낸 것이다. ... [그림 1.2] [그림 1.1]의 Verilog code (module part)[그림 1.3] [그림 1.1]의 Verilog code(testbench part)[그림 1.2]는 ... 먼저 [그림 2.2]는 module코드로 이 코드에서 always @ (posedge clk)는 클럭이 positive edge일 때 즉 클럭에 전원이 들어올 때 항상 begin ~
    리포트 | 6페이지 | 1,000원 | 등록일 2022.03.21
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:53 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대