• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(545)
  • 리포트(525)
  • 시험자료(9)
  • 논문(4)
  • 자기소개서(4)
  • 방송통신대(3)

"10진카운터 설계" 검색결과 1-20 / 545건

  • 10, 16진 카운터 설계 vhdl 5-6-7카운터 포함
    12진 카운터설계 해본다. ... 여태까지 카운터는 2진카운터, 10카운터, 16진 카운터만을 알고 있었는데 코드를 작성함에 따라 원하는 값 만을 출력할 수 있도록 작성할 수 있음을 알 수 있었다. ... 셈해주는 회로로서 이번 설계 실습에선 다양한 카운터설계, 실습해보게 된다. 16진 카운터는 0~15까지의 수를 반복하여 출력하게 되는데 이는 16진수에 해당한다. 16진수란 보통
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.05
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    (총 10까지 상태)18줄 : process 구문에서 상태 천이 조건을 만들었다. ... (아날로그 -> 디지털 컨버터) Controller 설계하기97ADC MODEL 설계하기111. ... 회로의 운용/동작 순서를 정의하여 효율적인 동작을 할 수 있도록 구성하는데 효과적인 설계 방식이다.Case 구문을 이용한 상태 머신 설계를 통해 상태천이를 정의하는 순차논리회로를 설계하는
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • VHDL실습 16진, 10진, 3진(5-6-7), 12진(2-13)카운터 설계 및 구현
    file을 열어 다음과 같이 10카운터로 동작할 수 있도록 코드를 짰다. 10카운터 역시 If문과 process문을 이용하여 짰다. 16진 카운터와는 조금 다르게 22번줄의 ... 되면 초기화 할 수 있게 짰다.RTL viewer를 통해 10카운터가 다음과 같이 설계되었음을 확인한다.LED3부터 차례대로2 ^{0},2 ^{1},2 ^{2},2 ^{3}이다 ... 또, 플립플롭을 통과할 때 마다 지연되어 필요 없는 값이 생기게 된다.2.실습 내용(1) 16진 카운터클락의 rising edge에서 값이 변하는 카운터설계해 보았다. 16진 카운터
    리포트 | 17페이지 | 2,000원 | 등록일 2019.04.20
  • 10카운터설계
    : out std_logic_vector (0 to 3)); -- 출력은 4bit의 논리벡터.end; -- entity의 끝Architecture code of Dec is -- 설계 ... 부분 선언signal cnt : std_logic_vector (0 to 3); -- count를 피드백 하기 위한 포트선언.begin -- 설계 부분 시작 선언.process(clk
    리포트 | 4페이지 | 3,000원 | 등록일 2011.01.09
  • 7490 10카운터용 IC를 이용하여 100진 카운터설계
    개요 : 7490 10카운터용 IC를 이용하여 100진 카운터설계할 수 있고 이 출력값을 FND507을 이용하여 출력할 수 있다.2. ... 목적 : 카운터 IC 7490의 동작 원리를 정확한 파악하고 FND507을 통하여 출력되는 원리를 정확히 이해하는데 그 목적을 둔다. 10카운터설계를 응용하여 100진 업 카운터를 ... 제작 동기 : 10카운터 IC가 실생활에 많이 활용되고 있는 것을 흔히 발견 할 수 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.21 | 수정일 2015.12.26
  • vhdl를 이용한 10카운터 설계
    10진수를 셀 수 있는 10진 카운트를 설계해 보았습니다. ... 시뮬레이션은 Test Bench를 설계하여 이용하였고, 모델심을 이용하여 10진 카운트로써 올바르게 동작하고 있는지 확인해 보았습니다. ... 추가적으로 Synplify 프로그램을 이용하여 설계10진카운트의 RTL 구조를 확인해 보았습니다. 10진카운트 설계 및 Test Bench 설계가 모두 정상적으로 이루어 졌음을
    리포트 | 5페이지 | 1,000원 | 등록일 2010.06.11
  • [디지털시계] ★디지털시계 설계★6진,10진,12진 카운터설계★회로구현 및 파형★
    설계 개요2. 디지털시계 설계3. T플리플롭 설계4. 6진 카운터 설계5. 10진, 12진 카운터 설계6. 카운터 회로구현 및 파형7. 디지털시계 회로구현 및 파형8. ... 이런 식으로 아래와 같이 6진, 10진, 12진 카운터를 이용하여 시계를 설계할 수 있다.3. ... T플리플롭 설계- maxplus에 있는 reset단자가 없는 T플리플롭이 아닌 reset단자가 있는 T플리플롭을 설계한다.4. 6진카운터 설계5. 10진, 12진 카운터 설계6.
    리포트 | 11페이지 | 1,500원 | 등록일 2011.12.18
  • [Flowrian] 10카운터 회로의 Verilog 설계 및 시뮬레이션 검증
    10카운터 회로는 0~9 범위를 카운팅 하는 카운터를 의미한다.10카운터는 0~15 를 셀 수 있는 4 비트 이진 카운터를 변형하여 0~9 범위만 카운팅하도록 설계한다. 10진 ... 카운터 회로의 동작은 Verilog 언어가 제공하는 2가지 방식, Behavior와 Structure 관점에서 논리동작을 모델링한다.설계는 Verilog 언어를 이용하여 모델링 되었으며 ... , 테스트벤치도 Verilog로 작성하여 시뮬레이션으로 논리동작을 검증하고 결과 파형을 분석하여 설계가 올바로 되었음을 증명한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2011.11.05
  • 플립플롭을 이용한 10진, 12진 카운터 설계 실험레포트
    1.Title플립플롭을 이용한 10진, 12진 카운터 설계2.Name3.Abstract1) JK Flip-Flop을 사용한 Synchronous MOD 10 counter2) T Flip-Flop을 ... )와 NAND게이트을 이용하여 원하는 수의 상태를 반복하는 카운터를 저렴한 Cost로 간단하게 설계 할 수 있습니다.실험에서 제작한 9진 카운터는 0~8의 9개의 상태를 반복하는 카운터입니다 ... Discussion실험3 앞면실험3 뒷면이번 실험은 이미 완성되어 시중에 시판되는 동기식 16진 카운터를 이용하여 임의의 N진 카운터설계하는 것입니다.Rcarry와 CLR(Reset
    리포트 | 17페이지 | 3,000원 | 등록일 2010.06.09
  • 비동기식 10카운터 설계 결과 보고서(MOD-10 Counter)
    펄스 발생 IC인 NE555를 이용하여 Clock Pulse를 주어, 10카운터가 출력되는 비동기식 10카운터(MOD-10) 설계를 한다.설계 순서Ⅲ1. ... 설계 결과 보고서비동기식 10카운터 설계(Mod-10 Counter)Team:Subject:Professor:Major:Student Number& Name::Due date:목 ... 컴퓨터 시뮬레이션 결과 및 Breadboard 결과를 확인하여 구성된 비동기식 10카운터(MOD-10) 회로가 기 설계된 회로의 결과와 일치하는지 확인한다.6.
    리포트 | 15페이지 | 2,000원 | 등록일 2012.03.31
  • 10카운터 설계 기초 전기전자 실험
    10카운터 회로과목전기전자실험교수님황수용교수님학과전자정보공학과학번02163837이름문정일조6조제 출 날 짜2006년12월5일10카운터 설계● 실험의 목표-10카운터 이상, ... 설계10카운터의 동작 원리- NE555 IC로 통하여 디지털 신호를 부여한다.- 카운터 IC인 HD74LS90, SN74LS47을 거쳐서 7-segment에 신호를 전달한다. ... Capacitor 0.1uF 10uF● 실험 내용과 방법1. 회로1과 같은 회로를 구성한다.회로1. 10카운터 회로도 (왼쪽)Logic works (오른쪽) Ps-pice2.
    리포트 | 7페이지 | 1,000원 | 등록일 2007.06.22
  • [예비보고서] 11.카운터 설계
    (답안)본 문항에서 16진 비동기 카운터와 리셋을 이용하여 10진 비동기 카운터설계하는 방법을 제시하였다. ... 10진 비동기 카운터의 회로도를 그린다. 11-3-2의 경우와 마찬가지로 버튼 입력에 따라 카운트가 증가하도록 설계한다. ... 또한, Q1, Q2, Q3 출력 신호에 LED를 연결하여 카운터 상태에 따라 LED에 점등되도록 하였다.11-3-3 10진 비동기 카운터 설계16진 비동기 카운터와 리셋 회로를 이용하여
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.03
  • [A+][예비레포트] 중앙대 아날로그 및 디지털 회로 설계실습 11. 카운터 설계
    비동기 카운터 설계16진 비동기 카운터와 리셋 회로를 이용하여 10진 비동기 카운터의 회로도를 그린다. 11-3-2 의 경우와 마찬가지로 버튼 입력에 따라 카운트가 증가하도록 설계한다 ... .16진 비동기 카운터에서 10진 비동기 카운터를 만들기 위해서는 1001 (9)에서 1010 (10)으로 가는 순간 Reset회로를 활용해 JK F/F을 reset시켜 출력을 0000 ... 비동기 카운터 설계8진 비동기 카운터의 회로도를 그린다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.04.08
  • 아날로그 및 디지털회로설계실습 11 카운터 설계 예비 리포트
    동기 카운터 회로도를 설계하고 파형을 확인해 보았다.서론: JK Flip Flop을 이용해서 00 01 10 11 등으로 증가하는 4진 카운터 등 증가하는 8진, 10진, 16진 카운터를 ... 따라 카운트가 증가하도록 설계한다.10진 비동기 카운터는 16진 비동기 카운터에서 10us에서 reset을 시켜주면 된다. 16진 비동기 카운터에서 10us 일 때 Q2와 Q4가 1,1이므로 ... 이번 보고서에서는 이런 카운터들을 설계하고 주파수를 알아보고 reset회로를 설계하고 연결해 16진 카운터10카운터를 만드는 등 카운터에 대해 학습했다.실험결과4진 비동기 카운터이론부의
    리포트 | 6페이지 | 1,000원 | 등록일 2021.09.02
  • 11. 카운터 설계 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    이 때 또한 Q의 값이 1이면 해당 LED에 불이 들어온다.11-3-3 10진 비동기 카운터 설계16진 비동기 카운터와 리셋 회로를 이용하여 10진 비동기 카운터의 회로도를 그린다. ... 이 16진 비동기 카운터10진 비동기 카운터로 만들려면 출력이 1001이 되는 순간 flip flop들을 reset시켜 다음 값이 0000이 되게 해야 한다. ... 입력 클럭 신호의 1/4에 해당하는 주파수를 가지게 되므로, 0.25MHz이다.11-3-2 8진 비동기 카운터 설계8진 비동기 카운터의 회로도를 그린다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.06
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 카운터설계(counter) 예비
    .3. 10진 비동기 카운터 설계16진 비동기 카운터와 리셋 회로를 이용하여 10진 비동기 카운터의 회로도를 그린다. 2의 경우와 마찬가지로 버튼 입력에 따라 카운트가 증가하도록 설계한다10 ... 아날로그 및 디지털회로 설계 실습13주차 예비: 카운터 설계전자전기공학부20160000 하대동고릴라1. 4진 비동기 카운터이론부의 그림 14-2의 비동기식 4진 카운터에 1MHz의 ... 비동기 카운터 설계8진 비동기 카운터의 회로도를 그린다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.12.23
  • 아날로그및디지털회로설계실습 예비보고서11 카운터설계
    또한, Q1, Q2, Q3 출력 신호에 LED를 연결하여 카운터의 상태에 따라 LED에 불이 들어오도록 설계한다.11-3-3 10진 비동기 카운터 설계16진 비동기 카운터와 리셋 회로를 ... 이용하여 10진 비동기 카운터의 회로도를 그린다. 11-3-2의 경우와 마찬가지로 버튼 입력에 따라 카운트가 증가하도록 설계한다.13-3-4 16진 동기 카운터 회로도그림 11-1의 ... 비동기 카운터 설계8진 비동기 카운터의 회로도를 그린다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.17 | 수정일 2020.11.27
  • 아날로그 및 디지털회로설계실습 (카운터 설계)예비보고서
    이 8진 비동기 카운터 회로는 위와 같다.11-3-3 10진 비동기 카운터 설계16진 비동기 카운터와 리셋 회로를 이용하여 10진 비동기 카운터의 회로도를 그린다. 11-3-2의 경우와 ... 설계실습11 예비보고서(카운터 설계)11-3 설계실습 계획서11-3-1 4진 비동기 카운터이론부의 그림 14-2의 비동기식 4진 카운터에 1MHz의 구형파를 인가할 때, Q1 신호의 ... 이 10진 비동기 카운터 회로는 위와 같다.11-3-4 16진 동기 카운터 회로도그림 11-1의 8진 동기 카운터의 회로도를 참고하여 16진 동기 카운터의 회로도를 그린다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.09.24
  • [A+]중앙대 아날로그및디지털회로설계실습 예비보고서11 카운터 설계
    카운터 설계11-3-1- 4진 비동기 카운터 회로도- 파형Q1신호의 주파수 = 1/T1 = 1/2us = 0.5MHz , Q2신호의 주파수 = 1/T2 = 1/4us = 0.25MHz11 ... 출력부분에는 LED를 연결해서 상태를 볼 수 있다.- 파형입력 클럭은 스위치를 통해 조절할 수 있고 시뮬레이션은 정해진 시간마다 switch가 바뀌도록 하였다.11-3-3- 10진 ... 아날로그및디지털회로설계실습 05분반 13주차 예비보고서설계실습 11.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.10.09
  • 중앙대학교 실험 예비 보고서 (카운터 설계)
    또한 Q1, Q2, Q3출력 신호에 LED를 연결하여 카운터의 상태에 따라 LED에 불이 들어오도록 연결한다.1-3-3 10진 비동기 카운터 설계16진 비동기 카운터와 리셋 회로를 ... 이용하여 10진 비동기 카운터의 회로도를 그린다. 12-3-2의 경우와 마찬가지로 버튼 입력에 따라 카운트가 증가하도록 설계한다.1-3-4 16진 동기 카운터 회로도그림 12-1의 ... 예비 보고서 10.과목명담당 교수학과학번실습일전자전설계실습 10.1.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.01.13
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:29 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기