• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,086)
  • 리포트(1,030)
  • 시험자료(26)
  • 자기소개서(21)
  • 방송통신대(5)
  • 논문(4)

"10진 카운터" 검색결과 1-20 / 1,086건

  • 10, 16진 카운터 설계 vhdl 5-6-7카운터 포함
    여태까지 카운터는 2진카운터, 10진 카운터, 16진 카운터만을 알고 있었는데 코드를 작성함에 따라 원하는 값 만을 출력할 수 있도록 작성할 수 있음을 알 수 있었다. ... 코드 및 설명출력 결과16진 카운터 (출력 값 0~15)------------------------------p.4이론적 설명코드 및 설명출력 결과10진 카운터 (출력 값 0~9)- ... 일상에서 사용하는 0~9 10진수가 아닌 0,1,…9,a,b,c,d,e 까지 총 16개로 사용하는 진수이다.코드 및 설명출력 결과10진 카운터 (출력 값 0~9)이론적 설명앞의 16진수
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.05
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    (총 10까지 상태)18줄 : process 구문에서 상태 천이 조건을 만들었다. ... Gray Code Counter3. 10/16 Dual Counter4. Crosswalk Controller 횡단보도 제어기5. ADC Controller6. ... (else같은 것)50~60줄 : 4비트로 16가지 경우의 수 중에 10개만 쓰고 나머지는 정의를 안했으므로 others이다.Case를 사용한 BCD_counter의 타이밍 시뮬레이션도주의
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 10진카운터에 대하여 정리
    2310진카운터10진카운터요 약본 보고서에서는 최저의 저가로 주변에서 흔히 볼 수 있는 디지털 시계의 일부분인 10진 카운터를 설계해본다. ... 그런데 이 실험에서 쓰이는 카운터10진 카운터에 해당한다. 10진 카운터란 4비트 이상의 기억 소자로 구성되며 대부분 BCD 코드(2진화 10진 코드)가 사용되며, 10개씩의 입력으로 ... 10진 카운터로 동작한다. 2진 및 5진 카운터의 Clock 입력이 “H”에서 “L”로 될 때 출력 레벨이 변화하는 Negative edge trigger type 이다.
    논문 | 6페이지 | 3,000원 | 등록일 2015.05.25
  • 10진카운터
    앞서 두 번의 회로 구현에서 실패한 이유로는 회로도의 잘못된 이해, 구현 중 실수 등이 있을 것. 4.8V의 전원을 인가하여 10진 카운트 정상 가동. ... ◎ 실험 결과R_{ 1}=1.0005k OMEGA,R_{ 2}=0.9946k OMEGAC_{ 1}=10 muF,C_{ 2}=0.1 muF·분석납땜을 하기에 앞서 브레드보드에 회로를
    리포트 | 2페이지 | 2,000원 | 등록일 2015.05.10
  • 10진카운터회로
    2월/3주10진카운터회로-회로도2월/3주10진카운터회로-부품배치도품 명규 격수량품 명규 격수량품 명규 격수량품 명규 격수량TTL ICNE5551IC 소켓14PIN2가변저항VR1㏁1FND5071SN749018PIN1전해콘덴서10 ... ----------------------------------------------------------------------2월/3주10진카운터회로-조립 패턴도 ... ㎌1만능기판28X281SN74471저항(4/1W)330Ω1마일러콘덴서0.1㎌1IC 소켓16PIN11㏀1스위치PB SW12월/3주10진카운터회로-조립 패턴도---------------
    리포트 | 2페이지 | 1,000원 | 등록일 2013.10.04
  • 10진 카운터
    10진 카운터◎결론? 이번 실험은 10진 카운터 회로를 구성하여 스위치를 눌렀을 때 FND507 7세그먼트 소자에 0부터9까지의 숫자가 차례로 출력 되도록 하는 것이었다. ... 주어진 회로는 NE555로 이루어진 비안정 M/V 회로의 펄스 발생에 의해, SN7490의 BCD 10진 카운터에서 10진 카운터 된 출력을 SN7447의 2진 세그먼트 디코더 드라이버
    리포트 | 1페이지 | 1,000원 | 등록일 2012.01.23
  • VHDL 플리플롭, 16진 카운터, 10진 카운터
    (F/F, 카운터)차 례SR F/FGATED S/R F/FD F/FJK F/F16진 카운터10진 카운터5-6-7반복 3진 카운터2-13반복 12진 카운터1. ... Rst가 0이면 어떤 값의 영향도 받지않고 출력이 0임을 알 수있다.6. 10진 카운터VHDL 작성RTL VIEWERFunction 결과해석위의 16진 카운터와 대체로 비슷하나 sig_snt가 ... 또한 nRst=0, sig_cnt=7이 되면 sig_cnt가 5로 바뀌게 되어 5-6-7만을 세는 카운터가 완성되었다.8. 2~13반복 12진 카운터VHDL 작성RTL VIEWERFucntion
    리포트 | 11페이지 | 2,500원 | 등록일 2015.05.30
  • VHDL실습 16진, 10진, 3진(5-6-7), 12진(2-13)카운터 설계 및 구현
    되어 값이 1씩 증가한다. 0부터 1,2,3,,,15까지 증가하는 것을 확인했다.(2) 10진 카운터file-new-VHDL file을 열어 다음과 같이 10진 카운터로 동작할 수 ... 있도록 코드를 짰다. 10진 카운터 역시 If문과 process문을 이용하여 짰다. 16진 카운터와는 조금 다르게 22번줄의 elsif 다음 문장을 16진 카운터 에서는 rising_edge라고 ... S’EVENT는 S에서 이벤트가 발생할 때 참을 반환 하는 것으로 clk ‘event and clk=’1’ 로 rising edge를 표현했다.10진 카운터 이기 때문에 클락이 9가
    리포트 | 17페이지 | 2,000원 | 등록일 2019.04.20
  • 10진 카운터
    명제10진 카운터용 IC 7490, 7447 BCD 디코더 & driver, FND 507 7-Segment를 이용하여 10진 카운터를 설계하고, 계수결과를 눈으로 확인할 수 있도록 ... 이들은 각기 사용할 수도 있고 연결하여 10진 카운터로 사용할 수도 있다. 10분주로 사용할 경우 2분주 카운터의 출력을 5분주 카운터의 CLK2로 연결시키고 계수용 입력 펄스는 CLK1에 ... 목적① 7490, 7447, FND 507의 사용법과 단자의 기능을 이해한다.② 7490을 이용한 10진 카운터의 구성방법 및 동작을 이해한다.3.
    리포트 | 4페이지 | 2,000원 | 등록일 2010.05.29 | 수정일 2020.12.14
  • 10진 카운터를 이용한 100진 카운터 결과보고서
    결과 보고서(10진 카운터를 이용한 100진 카운터)과 목하이브리드 설계교 수 님이영훈 교수님조10조이 름박상웅, 허성원학 번20080811, 20080853제 출 일13. 03. ... 명제10진 카운터를 이용한 100진 카운터를 설계하라. 단, 함수발생기를 사용하지 않고, 트리거발생회로를 이용하여 설계하라.2. ... 이들은 각기 사용할 수도 있고 연결하여 10진 카운터로 사용할 수도 있다. 10분주로 사용할 경우 2분주 카운터의 출력 �궜藍� 5분주 카운터의 클록(CLK)2로 연결시키고 계수용
    리포트 | 10페이지 | 3,000원 | 등록일 2013.07.01
  • 10진카운터
    [실험3] 10진 카운터 제작5. ... 먼저 입력 값을 직접 받는 74LS90에 대해서 살펴보자. 74LS90은 사각파의 신호를 받으면 이를 10진법(10가지 종류의 신호를 반복)으로 출력한다. 0∼9까지 숫자가 10개 ... 이기 때문에 이러한 디지털 IC가 사용된 것이다.74LS90에서 출력된 신호는 다음 디지털 IC인 74LS47에 입력된다. 74LS47은 10진법의 신호를 7segment에 각각 0
    리포트 | 2페이지 | 2,000원 | 등록일 2009.07.14
  • 10진 카운터설계
    1. Source Programlibrary ieee; -- 사용할 library들을 선언한다.use ieee.std_logic_1164.all; -- IEEE표준 library를 사용. use ieee.std_logic_unsigned.all; --Count를 위해 ..
    리포트 | 4페이지 | 3,000원 | 등록일 2011.01.09
  • N진카운터10진카운터
    펄스 인가방식 계수방식 완전독립분리가 아니라 서로 인용하는 관계 2ⁿ 진 카운터가 될 수도 있고 N진 카운터가 될 수도 있다.카운터(클럭인가 방식에 따른 분류)비동기식 카운터 (Asynchronous ... N진 카운터10진 카운터카운터대부분의 디지털 시스템에 내장되어 있는 카운터는 여러 종류가 있으면, 특정한 상태의 발생, 일정한 시간의 측정 및 일련의 동작을 수행하기 위한 신호들을 ... 동기식 카운터에 비해 회로가 간단해 진다는 장점이 있으나 전달지연이 커진다는 단점이 있다.비동기식 BCD 카운터BCD 카운터10개의 BCD 코드 값(0000, 0001, 0010
    리포트 | 14페이지 | 1,500원 | 등록일 2008.12.11
  • 7490 10진 카운터용 IC를 이용하여 100진 카운터를 설계
    개요 : 7490 10진 카운터용 IC를 이용하여 100진 카운터를 설계할 수 있고 이 출력값을 FND507을 이용하여 출력할 수 있다.2. ... 목적 : 카운터 IC 7490의 동작 원리를 정확한 파악하고 FND507을 통하여 출력되는 원리를 정확히 이해하는데 그 목적을 둔다. 10진 카운터의 설계를 응용하여 100진 업 카운터를 ... 제작 동기 : 10진 카운터 IC가 실생활에 많이 활용되고 있는 것을 흔히 발견 할 수 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.21 | 수정일 2015.12.26
  • [VHDL] 동기식 10진 카운터
    제 목동기식 10진 카운터1. 목 적VHDL을 이용하여 동기식 10진 카운터 회로를 구현함으로써 순차회로에 대한 VHDL 설계 방법을 익히고 카운터 회로의 동작을 이해한다.2. ... 실험 결과동기식 10진 카운터 Function tableCLRn LDnMode0 X1 01 1Asynchronous Clear : Q
    리포트 | 5페이지 | 1,000원 | 등록일 2010.11.23
  • vhdl를 이용한 10진 카운터 설계
    10진수를 셀 수 있는 10진 카운트를 설계해 보았습니다. ... 시뮬레이션은 Test Bench를 설계하여 이용하였고, 모델심을 이용하여 10진 카운트로써 올바르게 동작하고 있는지 확인해 보았습니다. ... 추가적으로 Synplify 프로그램을 이용하여 설계한 10진카운트의 RTL 구조를 확인해 보았습니다. 10진카운트 설계 및 Test Bench 설계가 모두 정상적으로 이루어 졌음을
    리포트 | 5페이지 | 1,000원 | 등록일 2010.06.11
  • 10진 카운터 제작 결과레포트
    [실험3] 10진 카운터 제작1. 목적디지털 칩의 가장 기본이 되는 74시리즈를 다루어 봄으로서 디지털 이론을 공부해 본다. ... 고찰이번 실험은 회로구성을 통해 작동을 확인하는 실험이었다.제작한 실험은 10진카운터로 0부터 9까지의 숫자를 카운터하는 회로였다.사실 이 7segment 표기법은 실제 우리생활에서 ... 실험에서는 7세그먼트를 구동하기위한 10진 카운터 74LS90 그리고 2진수를 입력 받아 7세그먼트를 구동 시켜주는 74LS47를 사용하여 세그먼트 LED를 구동해본다.2.예비레포트
    리포트 | 3페이지 | 1,500원 | 등록일 2010.03.16 | 수정일 2016.11.04
  • [예비레포트] 10진 카운터 제작
    [그림 2-1] 74LS9014핀으로 2진 카운터의 입력이 들어가면, 출력이 12번핀(Q0)으로 나오게 되고, 12번핀 출력신호의 일부는 5진 카운터로 입력되므로, 신호가 1번핀(5진 ... 그래서 2*5=10진 카운터(decade counter) 가 되는것이다.countoutputQ3(11핀)Q2(8핀)Q1(9핀)Q0(12핀)000*************0011401005010160110701118100091001 ... (TC40H, TC74HC 시리즈)▣ 74LS90의 기능74LS90은 2진카운터와 5진카운터가 각각 독립적으로 동작되는 회로가 한 패캐지 안에 들어있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.03.08
  • 74LS93 4-비트 비동기 10진 카운터
    이론적 배경74LS93 4-비트 비동기 10진 카운터10진 카운터카운터 중에서 가장 많이 사용되는 것으로서, 10을 모듈러스(Modulus)로 하는 Modulus 10 카운터이다 ... 74LS93 4-비트 비동기 10진 카운터1. ... 회로도① 비동기 10진 카운터의 회로도② 74LS93칩의 핀 배치도③ 74LS93을 10진 카운터로 사용하기 위한 구성도5.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.04.21
  • 플립플롭을 이용한 10진, 12진 카운터 설계 실험레포트
    1.Title플립플롭을 이용한 10진, 12진 카운터 설계2.Name3.Abstract1) JK Flip-Flop을 사용한 Synchronous MOD 10 counter2) T Flip-Flop을 ... 또한 16진 카운터는 4개의 F/F이 있는 것과 같으니 한 개의 카운터를 추가할 때마다 사용가능한 상태의 수가배만큼 증가되게 됩니다. 2개의 16진 카운터를 쓴다면 0~255의 범위를 ... 실험3목표는 9진 카운터0~8의 상태를 반복하도록 합니다.
    리포트 | 17페이지 | 3,000원 | 등록일 2010.06.09
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 05일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:19 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기