• 통큰쿠폰이벤트-통합
  • 통합검색(2,684)
  • 리포트(2,442)
  • 시험자료(153)
  • 방송통신대(34)
  • 논문(27)
  • 자기소개서(22)
  • 서식(6)

"16비트 설계" 검색결과 1-20 / 2,684건

  • Verilog를 이용한 고성능의 16비트 adder를 설계
    마이크로프로세서 Adder 설계2000 년 0 월 00 일마이크로프로세서1. 설계목적Verilog HDL을 이용하여 고성능의 16비트 adder를 설계한다.2. ... 것과 같이 radix-4로 구현한 Koggie-Stone adder의 평균 연산시간이 16.9% 가량 감소하였다. ... 설계사항Adder를 설계함 있어서 기본적인 Bitwise PG logic과 Sum logic의 경우 adder의 종류와 무관하게 동일하게 사용할 수 있으므로 Group PG logic을
    리포트 | 3페이지 | 1,500원 | 등록일 2020.04.15
  • 16bit 가산기 / 16bit adder / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    설계방법 설계16-bit adder는 add16을 root module로 하고, 4개의 sub-module인 add4로 구성되어 있다. ... 모듈의 Hierarchical structure는 이와 같고, sub module들은 개별 file(*.v)로 저장했다. 16-bit adder의 입력은 16 bit augend ... , 16 bit addend 그리고 Input carry이다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.10.17
  • 16비트 컴퓨터 설계
    경희대학교 컴퓨터구조 16비트 컴퓨터설계도면 입니다.
    리포트 | 20페이지 | 10,000원 | 등록일 2010.06.23 | 수정일 2017.06.14
  • 디지털 시스템설계(16비트 일반가산기/CLA설계)보고서
    Generate문 병행 처리문에서는 component를 반복적으로 사용하기 위해서 generate문을 사용한다. generate문은 단순 반복생성을 위한 generate문(for-generate문)과 주어진 조건에 따라 여러 번 반복 처리하는 generate문(i..
    리포트 | 7페이지 | 1,000원 | 등록일 2016.02.01 | 수정일 2016.06.05
  • 16Bit CLA layout 설계
    Project #3 Carry Look-ahead Adder(4-bit BCLA 4개를 이용하여 16-bit CLA 설계)1. ... 시점에서 delay가 가장 크게 나오는 것을 확인했다.2) 16_bit_Adder (739 X 513 = 379107)16bit BCLA를 구성하기 위해서 propagate unit ... :· Propagation :- Sum과 Carry· Sum :· Carry out :- Carry equations3) Carry Look_ahead Adder의 구성요소그림 16Bit
    리포트 | 18페이지 | 2,000원 | 등록일 2011.06.17
  • 컴퓨터구조 16비트 베이직 컴퓨터설계
    컴퓨터시스템 설계 CPU설계
    리포트 | 5,000원 | 등록일 2013.06.26 | 수정일 2018.02.07
  • 16비트 CPU 설계
    각각의 레지스터의 역할을 간단히 알아보도록 하면 다음과 같다.메모리 : 4096워드로 구선되어 있으며 각워드는 16비트이다. ... 원래 DR 레지스터는 총 16비트를 가지고 있는 레지스터로써 4비트의 입출력을가지는 74163 레지스터가 4개 쓰여야 하지만 회로도가 많이 커지는 관계로 간단히 동작을 알아 볼 수 ... 이 방법 외에도 버퍼를 이용하여 버스와 같은 효과를 낼 수 있는방법이 있는데 이번 설계에서는 버퍼를 이용한 설계를 할것이다.명령어 코드는 컴퓨터에게 어떤 특별한 동작을 수행할 것을
    리포트 | 23페이지 | 4,000원 | 등록일 2009.12.01
  • 16bit 컴퓨터 설계
    ) 7개의 플립플롭 : I, S, E, R, IEN, FGI, F해4) 2개의 디코더 : 3×8 동작 디코더와 4×16 타이밍 디코더5) 16 비트 공통 버스6) 제어 논리 게이트7 ... - 기본 컴퓨터의 하드웨어 구성 요소 -1) 16 비트의 4096워드를 가진 메모리 장치2) 9개의 레지스터 : AR, PC, DR, AC, IR, TR, OUTR, INPR, SC3 ... ← XXWrite = RT1 + D3T4 +D5T4 +D6T6Write = /WE * OE * /CS- DR[데이터 레지스터] -메모리에서 읽어온 피연산자를 저장한다.▶ DR 회로16비트
    리포트 | 23페이지 | 3,000원 | 등록일 2009.07.24
  • [VerilogHDL] CLA를 이용한 16bit 산술논리장치(ALU) 설계
    디지털설계고속가산기(CLA)를 활용한16bit 산술논리장치(ALU) 설계목 차설계개요개념설계회로구현결과검토■ 설계개요고속가산기를 활용하여 8가지 연산(덧셈, 뺄셈, +1증가, -1감소 ... ([그림 3])■ 회로구현게이트레벨구조의 CLA를 이용한 16bit ALU 코드//--------------------16-bit ALU Module------------------ ... Carry outC1 = G0 +P0 · C0C2 = G1 +GO · P1+P0 · P1 · C0C3술논리장치는 4bit 산술논리장치를 4개를 연결하여 설계한다.
    리포트 | 6페이지 | 3,500원 | 등록일 2015.08.02
  • 16bit CPU 설계
    ) 7개의 플립플롭 : I, S, E, R, IEN, FGI, F해4) 2개의 디코더 : 3×8 동작 디코더와 4×16 타이밍 디코더5) 16 비트 공통 버스6) 제어 논리 게이트7 ... - 기본 컴퓨터의 하드웨어 구성 요소 -1) 16 비트의 4096워드를 가진 메모리 장치2) 9개의 레지스터 : AR, PC, DR, AC, IR, TR, OUTR, INPR, SC3 ... ← XXWrite = RT1 + D3T4 +D5T4 +D6T6Write = /WE * OE * /CS- DR[데이터 레지스터] -메모리에서 읽어온 피연산자를 저장한다.▶ DR 회로16비트
    리포트 | 23페이지 | 4,000원 | 등록일 2009.07.24
  • [리포트]컴퓨터구조(16bit 컴퓨터 설계)
    컴퓨터 내부 레지스터(AR, PC, DR, AC, IR, TR, OUTR, INPR) 설계◎ IR, DR, AC, TR의 Block Diagram (bit수가 16인 레지스터들..) ... 위 레지스터들의 경우 bit수가 16으로 같기 때문에 같은 방법으로 코딩하면 다음과 같은 Block Diagram을 얻을 수 있다.1. ... Hardware 부분 설계 이제 지금까지 각각의 자원들을 설계한 것을 바탕으로 그것들을 이어서 Control unit부분을 제외한 전체 컴퓨터 하드웨어 부분들만을 설계해 보도록 하겠다
    리포트 | 13페이지 | 1,000원 | 등록일 2012.11.01
  • modelsim을 이용한 16bit CLA 설계
    - VHDL을 사용하여 결정한 덧셈기 구조 설계library ieee; --library declaration, required before packageuse ieee.std_logic
    리포트 | 5페이지 | 2,000원 | 등록일 2011.07.02
  • FPGA 디지털 시스템 설계 : 16bit Full adder 설계
    16bit Full Adder 설계1. 16bit Full adder module 설계16bit full adder는 총 16bit인 두 숫자를 더하는 역할을 한다. ... 고찰16bit full adder를 설계하기에 앞서, 16bit full adder는 1bit full adder를 module로 만들어 사용하면 편리하기 때문에 1bit full ... full adder는 덧셈을 계산할 두 숫자의 크기는 16bit여야 하며, 마찬가지로 그 결과값도 16bit여야 하므로 sum과 a, b는 [15:0]를 적어 16bit로 구성하였다
    리포트 | 3페이지 | 1,000원 | 등록일 2012.06.18
  • 서강대학교 디지털회로설계 설계1 16bit CLA
    (실현 가능성) ※ 주의사항 ① VHDL언어를 사용하여 설계한다. ② 각 논리 게이트는 특정 지연 시간을 갖도록 설계한다. ※ 테스트 방법① 16-비트 입력에 대한 test ... 이때 덧셈기는 16-비트의 입력과 출력을 가지도록 한다. ※ 제한요인 ① 효율적인 프로그래밍으로 연산속도를 최소화한다. ... 제목 : 고속 동작 덧셈기 설계 2.
    리포트 | 13페이지 | 2,500원 | 등록일 2013.04.12 | 수정일 2014.01.03
  • 동기식 16비트 Gray Counter 로직 설계 소스 코드
    연속 카운터 값사이에 1비트의 값만 변화(토글)시키면서 상태값을 증가(감소)시키는 Gray 계수기를 System Verilog를 이용하여 설계파일을 구현한다.설계파일의 기능외에도 테스트 ... ,logic)변수 중 시뮬레이션 시간을 최소화하는데 이용되는 bit 형 변수를 이용하여 입력 포트 자료형을 이용한다.그레이 카운터의 정상동작을 하기 위해 1비트만 로직 1이 구동되도록 ... 수행할 수 있도록 초기화 블록을 포함시키고이의 기능을 동작할 수 있도록 시스템 베릴로그의 데이터 자료형 중 네트형 변수와 레지스터형 변수의 기능을 함께 수행할 수 있는 데이터 자료형(bit
    리포트 | 3,000원 | 등록일 2013.02.17
  • 항공대 컴퓨터구조 최종 보고서 MIPS 16bit를 32bit로 확장 설계
    내용 요약16비트 MIPS 칩을 변경하여 32비트 MIPS 칩을 설계하고 결과 확인 및 테스트를 통한검증을 실험한다.2. ... 첫 6비트는 oPCode로 덧셈을나타낸다. 16비트 MIPS에서는 0001의oPCode를 앞자리 2비트를 더 늘려 000001로 변경하였다. ... 연구 목표소스 코드를 정확히 분석하여 16비트 칩의 주요부분을 이해하고 코드를 수정한다.
    리포트 | 12페이지 | 3,000원 | 등록일 2012.11.03
  • FPGA를 이용한 디지털 시스템 설계(인하대) 16bit Full Adder 보고서 (verilog코딩)
    FPGA를 이용한 디지털시스템 설계 REPORT1bit, 4bit full adder를 이용한 16bit full adder 설계1. ... 설계할 때와 동일한 방식으로 16bit full adder도 설계할 것이다.위에서 우리는 4bit full adder를 1bit full adder 4개를 사용하여 설계하였다. ... 그 외에 Multiplexer를 사용한 설계를 하거나 16bit보다 적은 단위인 1bit,4bit,8bit fulladder를 사용하여 설계할 수 있다.이번에 이용하고자 하는 방법은
    리포트 | 12페이지 | 2,000원 | 등록일 2015.09.25
  • VHDL을 이용한 16bit ALU 설계 및 파형
    집적회로실습과제 Report16bit ALU 설계전자정보시스템제출일: 5/31ALU (Arithmetic Logic Unit)산술 논리 장치Mathematician HYPERLINK ... ALU를 설계한다.< 16bit ALU >library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL ... ;entity ALU isPort ( clk : in STD_LOGIC; --ALU 동작클럭A : in STD_LOGIC_VECTOR (15 downto 0); --16bit 입력
    리포트 | 18페이지 | 2,000원 | 등록일 2011.01.11
  • [컴퓨터구조] 16bit 컴퓨터 설계
    자원 설계-Register (n-bit Register)-Memory-Flip flop (SR, JK)-Decoder (1*2, 2*4, 3*8)-Common Bus (Mux(2*1
    리포트 | 18페이지 | 2,500원 | 등록일 2008.09.26
  • 16비트 ALU VHDL설계
    16bit ALU@ 동작CODE동작FLAG비고0000Y←AZtransfer0001Y←A+1C, Z, V, Nincrement0010Y←A+BC, Z, V, Nadd0011Y←A+B+ ... downto 0);c_flag,z_flag, v_flag,n_flag : OUT std_logic);END alu16;ARCHITECTURE arch_alu16 OF alu16 ISBEGINPROCESS ... VDHLLIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY alu16 IS PORT(a,
    리포트 | 3페이지 | 1,000원 | 등록일 2007.06.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:28 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대