• 통큰쿠폰이벤트-통합
  • 통합검색(441)
  • 리포트(417)
  • 시험자료(17)
  • 자기소개서(3)
  • 논문(2)
  • 방송통신대(2)

"16진 카운터" 검색결과 1-20 / 441건

  • 16진 동기 및 비동기 카운터 설계
    동기식 Up 카운터4개의 JK 플립플롭을 이용하여 16진 동기식 Up 카운터를 구성하였습니다. ... , 16진 비동기식 Down 카운터는 15부터 0까지 거꾸로 계수하는 것입니다.2) 16진 동기 카운터동기식 카운터는 비동기식 카운터와는 달리 공통의 클럭 신호에 맞춰 플립플롭들이 ... 하지만 아래 시뮬레이션에서도 확인할 수 있듯 16진 비동기식 카운터에서 생기는 조금의 전달 지연이 16진 동기식 카운터에선 전혀 없어, 이론이 성립함을 알 수 있습니다.4.
    리포트 | 3페이지 | 1,500원 | 등록일 2020.10.10
  • 10, 16진 카운터 설계 vhdl 5-6-7카운터 포함
    여태까지 카운터는 2진카운터, 10진 카운터, 16진 카운터만을 알고 있었는데 코드를 작성함에 따라 원하는 값 만을 출력할 수 있도록 작성할 수 있음을 알 수 있었다. ... 셈해주는 회로로서 이번 설계 실습에선 다양한 카운터를 설계, 실습해보게 된다. 16진 카운터는 0~15까지의 수를 반복하여 출력하게 되는데 이는 16진수에 해당한다. 16진수란 보통 ... 카운터의 경우에는 vector(3 downto 0) 이 이미 16진수를 나타내기 때문에 다른 코드를 작성하지 않아도 16진카운터로서 동작을 했음을 보았다. 10진 카운터의 경우는
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.05
  • (기초회로 및 디지털실험) 16진 동기 및 비동기 카운터 설계
    이해하여 16진 동기 및 비동기 카운터를 설계한다. ... 실험 결과를 바탕으로 결과 보고서를 작성한다.이번 보고서는 3가지의 TTL IC 소자를 이용해서 16진 동기 및 비동기 카운터를 설계하는 것으로 동기식 카운터는 비동기식 카운터와는 ... 입력에 대한 카르노 맵을 구한 다음 완성된 동기식 및 비동기식 Counter 회로를 만드는 순서로 진행했다. 16진 상향 카운터의 특성상 동기식 카운터와 비동기식 카운터 모두 0~15까지의
    리포트 | 7페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    Gray Code Counter3. 10/16 Dual Counter4. Crosswalk Controller 횡단보도 제어기5. ADC Controller6. ... (else같은 것)50~60줄 : 4비트로 16가지 경우의 수 중에 10개만 쓰고 나머지는 정의를 안했으므로 others이다.Case를 사용한 BCD_counter의 타이밍 시뮬레이션도주의
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 16진 카운터 회로
    월 / 주과 제 명전자로봇과12 / 116진 카운터 회로3학년품 명규 격수량품 명규 격수량TTL IC741541LED적 색1674042전해 콘덴서470㎌2749012.2㎌1정전압ICLM78051저항
    리포트 | 1페이지 | 1,000원 | 등록일 2013.10.04
  • VHDL 플리플롭, 16진 카운터, 10진 카운터
    (F/F, 카운터)차 례SR F/FGATED S/R F/FD F/FJK F/F16진 카운터10진 카운터5-6-7반복 3진 카운터2-13반복 12진 카운터1. ... Rst가 0이면 어떤 값의 영향도 받지않고 출력이 0임을 알 수있다.6. 10진 카운터VHDL 작성RTL VIEWERFunction 결과해석위의 16진 카운터와 대체로 비슷하나 sig_snt가 ... J=1, K=1일 때 JK f/f은 Toggle(반전)을 실행한다. 320~400ns 사이 CLK에서 Toggle이 되는 것을 확인할 수 있다.5. 16진 카운터VHDL 작성RTL
    리포트 | 11페이지 | 2,500원 | 등록일 2015.05.30
  • VHDL실습 16진, 10진, 3진(5-6-7), 12진(2-13)카운터 설계 및 구현
    있도록 코드를 짰다. 10진 카운터 역시 If문과 process문을 이용하여 짰다. 16진 카운터와는 조금 다르게 22번줄의 elsif 다음 문장을 16진 카운터 에서는 rising_edge라고 ... 또, 플립플롭을 통과할 때 마다 지연되어 필요 없는 값이 생기게 된다.2.실습 내용(1) 16진 카운터클락의 rising edge에서 값이 변하는 카운터를 설계해 보았다. 16진 카운터 ... 이므로 0에서 15까지 차례대로 수를 증가시키고 15가되면 리셋된다.file-new-VHDL file을 열어 다음과 같이 16진 카운터로 동작할 수 있도록 코드를 짰다.
    리포트 | 17페이지 | 2,000원 | 등록일 2019.04.20
  • [논리회로] 16진카운터
    카운터 개념도】이것을 가지고 T-Flip Flop으로 하는 진리표를 만들면 다음과 같습니다.【16진 카운터 진리표】InputresetOutputT-FFQ1Q2Q3Q4Q1'Q2'Q3 ... § 16진 카운터 설계(동기 reset 포함)0 → 1 → 2 → 3 → 4 → 5 → 6 → 7 → 8 → 9 → A → B → C → D → E → F0000 0001 0010 ... reset1111 0001reset1110 00101101 0011resetresetreset1100 01001011 01011010 01101001 01111000 reset【16진
    리포트 | 4페이지 | 2,000원 | 등록일 2003.08.13
  • [예비보고서] 11.카운터 설계
    구체적인 회로도는 다음과 같다.11-3-4 16진 동기 카운터 회로도그림 11-1의 8진 동기 카운터의 회로도를 참고하여 16진 동기 카운터의 회로도를 그린다. ... 이러한 8진 동기 카운터를 바탕으로이하와 같은 16진 동기 카운터를 설계하였다. ... (답안)본 문항에서 16진 비동기 카운터와 리셋을 이용하여 10진 비동기 카운터를 설계하는 방법을 제시하였다.
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.03
  • 11. 카운터 설계 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    16진 동기 카운터의 회로도를 그린다. ... 이 때 또한 Q의 값이 1이면 해당 LED에 불이 들어온다.11-3-3 10진 비동기 카운터 설계16진 비동기 카운터와 리셋 회로를 이용하여 10진 비동기 카운터의 회로도를 그린다. ... 이 16진 비동기 카운터를 10진 비동기 카운터로 만들려면 출력이 1001이 되는 순간 flip flop들을 reset시켜 다음 값이 0000이 되게 해야 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.06
  • 11. 카운터 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    카운터 설계(A) 설계실습 계획서를 참고하여 16진 비동기 카운터를 결선한다. ... (B) 설계실습 계획서를 참고하여 16진 동기 카운터를 결선, 비동기식임을 확인한다.16진 비동기식 카운터와 달리 AND GATE 4개로 이루어진 74HC089을 추가로 결선하였다. ... 맨위에서 왼쪽부터 1~15까지 카운팅 후 16이 되면 LED가 모두 꺼지는 것이 나타난다. 또한 16진 동기식 카운터의 결과와 똑같이 나오는 것을 확인할 수 있다.11-5.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • [A+][예비레포트] 중앙대 아날로그 및 디지털 회로 설계실습 11. 카운터 설계
    참고하여 16진 동기 카운터의 회로도를 그린다. ... 비동기 카운터 설계16진 비동기 카운터와 리셋 회로를 이용하여 10진 비동기 카운터의 회로도를 그린다. 11-3-2 의 경우와 마찬가지로 버튼 입력에 따라 카운트가 증가하도록 설계한다 ... 따라서 Q2’과 Q4’을 OR해서 4번째 JK F/F CLR’신호에 연결하면 이 동작을 수행할 수 있다.2-4 16진 동기 카운터 회로도그림 11-1의 8진 동기 카운터의 회로도를
    리포트 | 4페이지 | 1,000원 | 등록일 2022.04.08
  • 디지털회로실험 동기식 카운터, 비동기식 카운터
    DOWN 카운터 회로와 7-segment 표시표 15-2 MOD-16 DOWN 카운터의 파형 PRCKDCBA01111111111downarrow(1)11101downarrow(2) ... 1Hz의 클럭펄스를 입력한 후에 CK단자, 출력 A, B, C, D에 연결한 LED를 통해 결과를 측정한다.실험2) 비동기 10진 카운터와 디코더를 이용한 7-segment 표시기 ... )1111실험2) 비동기 10진 카운터와 디코더를 이용한 7-segment 표시기표 15-3 측정 결과 R0(1), R0(2)(pin 2, 3)R9(1), R9(2)(pin 6, 7
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 아날로그 및 디지털회로설계실습 11 카운터 설계 예비 리포트
    따라 카운트가 증가하도록 설계한다.10진 비동기 카운터16진 비동기 카운터에서 10us에서 reset을 시켜주면 된다. 16진 비동기 카운터에서 10us 일 때 Q2와 Q4가 1,1이므로 ... 참고하여 16진 동기 카운터의 회로도를 그린다. ... 카운터 설계요약: 이번 보고서를 통해 카운터에 대해 학습했다. 74HC73 JK Flip flop을 이용해 4진 비동기 카운터, 8진 비동기 카운터, 10진 비동기 카운터, 16진
    리포트 | 6페이지 | 1,000원 | 등록일 2021.09.02
  • 아날로그 및 디지털 회로 설계 실습 결과보고서11 카운터 설계
    카운터 설계(B) 설계실습 계획서를 참고하여 16진 동기 카운터를 결선한다.16진 동기 카운터를 위와 같이 설계하였다. ... 설계실습 방법비동기 8진 카운터 설계(D) 버튼을 한 번씩 눌러 가면서 카운터가 정상적으로 동작하는 지 확인, 그 결과를 제출한다. ( Chattering 방지 회로 추가하여 설계한 ... 항목)먼저, 기본적인 회로는 위와 같이 구성하였다. 8진 카운터 설계를 위하여 3개의 flip-flop 소자를 사용하였으며, 상기 회로는 비동기 카운터 이기에, CLK값을 모두 한
    리포트 | 11페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • [중앙대 아날로그및디지털회로설계실습]설계실습11(카운터 설계) 결과보고서
    서론비동기 8진 카운터, 비동기 16진 카운터, 동기 16진 카운터를 설계하고 정상 동작하는지 확인했다.2. ... 이는 chattering 현상 때문이다.(2) 비동기 및 동기 16진 카운터(A) 비동기 16진 카운터정상동작(B) 동기 16진 카운터정상동작3. ... 설계실습 결과(1) 비동기 8진 카운터(A) 비동기 8진 카운터를 다음 사진과 같이 설계했다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.08.11
  • [논리회로실험] Counter_ 예비보고서
    실험목적카운터의 동작원리와 특성을 이해2진 시스템에서의 숫자표시와 2진 카운터에 대해 이해카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 ... - 비동기식 카운터의 단점인 전달지연을 없애기 위해 모든 플립플롭에 같은 Clock 펄스 인가4) 2단 2진 Counter- 2진 Counter란 이진수를 출력하는 Counter- ... . (+ 선은 74HC76 1, 6번, - 선은 GND 처리)이 때 동기식 Counter이므로 회로도에서 모든 플립플롭에 CLK 인가한다.74HC76의 VCC : 16, 12, 3,
    리포트 | 9페이지 | 1,000원 | 등록일 2021.06.03 | 수정일 2023.03.29
  • 중앙대학교 아날로그및디지털회로설계실습 설계실습 11. 카운터 설계 A+ 예비보고서
    비동기 카운터이론부의 그림 14-2의 비동기식 4진 카운터에 1MHz의 구형파 (square wave)를 인가할 때, Q1 신호의 주파수와 Q2 신호의 주파수를 구한다. ... 실습목적JK Flip Flop을 이용한 동기식, 비동기식 카운터를 설계해 보고 리셋 기능을 이용하여 임의의 진수의 카운터를 제작할 수 있는 능력을 배양한다. ... Breadboard) : 1개 파워서플라이(Power supply) : 1대 함수발생기 (Function generator) : 1대 점퍼선 : 다수11-3 설계 실습 계획서11-3-1 4진
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.15 | 수정일 2022.09.22
  • 아날로그및디지털회로설계실습예비보고서11-카운터 설계
    실습목적JK Flip Flop을 이용한 동기식, 비동기식 카운터를 설계해 보고 리셋 기능을 이용하여 임의의 진수의 카운터를 제작할 수 있는 능력을 배양한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.03.29 | 수정일 2020.05.06
  • [디지털공학개론] 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    하향 카운터는 각 플리플롭은 클록펄스의 상승 에지에서 변화하고 Q A 에서는 입력 클록 주파수의 1/2, Q B 에서는 1/4, Q C 에서는 1/8, Q D 에서는 1/16의 주파수를 ... 클록 주파수의 1/2, Q B 에서는 1/4, Q C 에서는 1/8, Q D 에서는 1/16의 주파수를 갖는 구형파가 얻어진다. ... 해당하는 6진 카운터가 1 증가해야 한다.
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.03.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:06 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대